OpenCores
URL https://opencores.org/ocsvn/pltbutils/pltbutils/trunk

Subversion Repositories pltbutils

[/] [pltbutils/] [tags/] [alpha0003/] [example/] [vhdl/] [tb_example.vhd] - Diff between revs 2 and 15

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 15
Line 126... Line 126...
      stop_sim_i        => stop_sim
      stop_sim_i        => stop_sim
    );
    );
 
 
  tc0 : entity work.tc_example
  tc0 : entity work.tc_example
    generic map (
    generic map (
      G_WIDTH           => G_WIDTH
      G_WIDTH           => G_WIDTH,
 
      G_DISABLE_BUGS    => G_DISABLE_BUGS
    )
    )
    port map(
    port map(
      clk               => clk,
      clk               => clk,
      rst               => rst,
      rst               => rst,
      carry_in          => carry_in,
      carry_in          => carry_in,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.