OpenCores
URL https://opencores.org/ocsvn/potato/potato/trunk

Subversion Repositories potato

[/] [potato/] [trunk/] [example/] [nexys4_constraints.xdc] - Diff between revs 7 and 12

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 7 Rev 12
Line 1... Line 1...
# Practical Test Application for the Potato Processor
# The Potato Processor - A simple processor for FPGAs
# (c) Kristian Klomsten Skordal 2015 
# (c) Kristian Klomsten Skordal 2014 
# Report bugs and issues on 
# Report bugs and issues on 
 
 
# Operating conditions:
# Set operating conditions to improve temperature estimation:
set_operating_conditions -airflow 0
set_operating_conditions -airflow 0
set_operating_conditions -heatsink low
set_operating_conditions -heatsink low
 
 
# Clock:
# Clock:
set_property PACKAGE_PIN E3 [get_ports clk]
set_property PACKAGE_PIN E3 [get_ports clk]

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.