OpenCores
URL https://opencores.org/ocsvn/potato/potato/trunk

Subversion Repositories potato

[/] [potato/] [trunk/] [example/] [nexys4_constraints.xdc] - Diff between revs 12 and 61

Show entire file | Details | Blame | View Log

Rev 12 Rev 61
Line 17... Line 17...
 
 
 # External interrupt button:
 # External interrupt button:
 set_property PACKAGE_PIN E16 [get_ports external_interrupt]
 set_property PACKAGE_PIN E16 [get_ports external_interrupt]
        set_property IOSTANDARD LVCMOS33 [get_ports external_interrupt]
        set_property IOSTANDARD LVCMOS33 [get_ports external_interrupt]
 
 
# UART (to host) lines:
# UART lines:
set_property PACKAGE_PIN C4 [get_ports uart_rxd]
set_property PACKAGE_PIN C4 [get_ports uart_rxd]
        set_property IOSTANDARD LVCMOS33 [get_ports uart_rxd]
        set_property IOSTANDARD LVCMOS33 [get_ports uart_rxd]
set_property PACKAGE_PIN D4 [get_ports uart_txd]
set_property PACKAGE_PIN D4 [get_ports uart_txd]
        set_property IOSTANDARD LVCMOS33 [get_ports uart_txd]
        set_property IOSTANDARD LVCMOS33 [get_ports uart_txd]
 
 
Line 90... Line 90...
        set_property IOSTANDARD LVCMOS33 [get_ports {leds[13]}]
        set_property IOSTANDARD LVCMOS33 [get_ports {leds[13]}]
set_property PACKAGE_PIN R2 [get_ports {leds[14]}]
set_property PACKAGE_PIN R2 [get_ports {leds[14]}]
        set_property IOSTANDARD LVCMOS33 [get_ports {leds[14]}]
        set_property IOSTANDARD LVCMOS33 [get_ports {leds[14]}]
set_property PACKAGE_PIN P2 [get_ports {leds[15]}]
set_property PACKAGE_PIN P2 [get_ports {leds[15]}]
        set_property IOSTANDARD LVCMOS33 [get_ports {leds[15]}]
        set_property IOSTANDARD LVCMOS33 [get_ports {leds[15]}]
 
 
 
## 7-Segment displays:
 
set_property PACKAGE_PIN L3 [get_ports {seg7_cathode[0]}]
 
        set_property IOSTANDARD LVCMOS33 [get_ports {seg7_cathode[0]}]
 
set_property PACKAGE_PIN N1 [get_ports {seg7_cathode[1]}]
 
        set_property IOSTANDARD LVCMOS33 [get_ports {seg7_cathode[1]}]
 
set_property PACKAGE_PIN L5 [get_ports {seg7_cathode[2]}]
 
        set_property IOSTANDARD LVCMOS33 [get_ports {seg7_cathode[2]}]
 
set_property PACKAGE_PIN L4 [get_ports {seg7_cathode[3]}]
 
        set_property IOSTANDARD LVCMOS33 [get_ports {seg7_cathode[3]}]
 
set_property PACKAGE_PIN K3 [get_ports {seg7_cathode[4]}]
 
        set_property IOSTANDARD LVCMOS33 [get_ports {seg7_cathode[4]}]
 
set_property PACKAGE_PIN M2 [get_ports {seg7_cathode[5]}]
 
        set_property IOSTANDARD LVCMOS33 [get_ports {seg7_cathode[5]}]
 
set_property PACKAGE_PIN L6 [get_ports {seg7_cathode[6]}]
 
        set_property IOSTANDARD LVCMOS33 [get_ports {seg7_cathode[6]}]
 
 
 
set_property PACKAGE_PIN N6 [get_ports {seg7_anode[0]}]
 
        set_property IOSTANDARD LVCMOS33 [get_ports {seg7_anode[0]}]
 
set_property PACKAGE_PIN M6 [get_ports {seg7_anode[1]}]
 
        set_property IOSTANDARD LVCMOS33 [get_ports {seg7_anode[1]}]
 
set_property PACKAGE_PIN M3 [get_ports {seg7_anode[2]}]
 
        set_property IOSTANDARD LVCMOS33 [get_ports {seg7_anode[2]}]
 
set_property PACKAGE_PIN N5 [get_ports {seg7_anode[3]}]
 
        set_property IOSTANDARD LVCMOS33 [get_ports {seg7_anode[3]}]
 
set_property PACKAGE_PIN N2 [get_ports {seg7_anode[4]}]
 
        set_property IOSTANDARD LVCMOS33 [get_ports {seg7_anode[4]}]
 
set_property PACKAGE_PIN N4 [get_ports {seg7_anode[5]}]
 
        set_property IOSTANDARD LVCMOS33 [get_ports {seg7_anode[5]}]
 
set_property PACKAGE_PIN L1 [get_ports {seg7_anode[6]}]
 
        set_property IOSTANDARD LVCMOS33 [get_ports {seg7_anode[6]}]
 
set_property PACKAGE_PIN M1 [get_ports {seg7_anode[7]}]
 
        set_property IOSTANDARD LVCMOS33 [get_ports {seg7_anode[7]}]

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.