OpenCores
URL https://opencores.org/ocsvn/potato/potato/trunk

Subversion Repositories potato

[/] [potato/] [trunk/] [example/] [toplevel.vhd] - Diff between revs 45 and 58

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 45 Rev 58
Line 129... Line 129...
                );
                );
 
 
        processor: entity work.pp_potato
        processor: entity work.pp_potato
                port map(
                port map(
                        clk => system_clk,
                        clk => system_clk,
 
                        timer_clk => timer_clk,
                        reset => reset,
                        reset => reset,
                        irq => irq,
                        irq => irq,
                        fromhost_data => (others => '0'),
                        fromhost_data => (others => '0'),
                        fromhost_updated => '0',
                        fromhost_updated => '0',
                        tohost_data => open,
                        tohost_data => open,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.