OpenCores
URL https://opencores.org/ocsvn/potato/potato/trunk

Subversion Repositories potato

[/] [potato/] [trunk/] [example/] [toplevel.vhd] - Diff between revs 61 and 62

Show entire file | Details | Blame | View Log

Rev 61 Rev 62
Line 376... Line 376...
                end if;
                end if;
        end process address_decoder;
        end process address_decoder;
 
 
        module_mux: process(active_module, imem_ack_out, imem_dat_out, dmem_ack_out, dmem_dat_out,
        module_mux: process(active_module, imem_ack_out, imem_dat_out, dmem_ack_out, dmem_dat_out,
                gpio1_ack_out, gpio1_dat_out, gpio2_ack_out, gpio2_dat_out, uart_ack_out, uart_dat_out,
                gpio1_ack_out, gpio1_dat_out, gpio2_ack_out, gpio2_dat_out, uart_ack_out, uart_dat_out,
                timer_ack_out, timer_dat_out, dummy_ack_out, dummy_dat_out)
                timer_ack_out, timer_dat_out, seg7_dat_out, seg7_ack_out, dummy_ack_out, dummy_dat_out)
        begin
        begin
                case active_module is
                case active_module is
                        when MODULE_IMEM =>
                        when MODULE_IMEM =>
                                p_ack_in <= imem_ack_out;
                                p_ack_in <= imem_ack_out;
                                p_dat_in <= imem_dat_out;
                                p_dat_in <= imem_dat_out;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.