OpenCores
URL https://opencores.org/ocsvn/potato/potato/trunk

Subversion Repositories potato

[/] [potato/] [trunk/] [src/] [pp_core.vhd] - Diff between revs 58 and 60

Show entire file | Details | Blame | View Log

Rev 58 Rev 60
Line 10... Line 10...
use work.pp_constants.all;
use work.pp_constants.all;
use work.pp_utilities.all;
use work.pp_utilities.all;
use work.pp_csr.all;
use work.pp_csr.all;
 
 
--! @brief The Potato Processor is a simple processor core for use in FPGAs.
--! @brief The Potato Processor is a simple processor core for use in FPGAs.
--! @details
 
--! It implements the RV32I (RISC-V base integer subset) ISA with additional
 
--! instructions for manipulation of control and status registers from the
 
--! currently unpublished supervisor extension.
 
entity pp_core is
entity pp_core is
        generic(
        generic(
                PROCESSOR_ID           : std_logic_vector(31 downto 0) := x"00000000"; --! Processor ID.
                PROCESSOR_ID           : std_logic_vector(31 downto 0) := x"00000000"; --! Processor ID.
                RESET_ADDRESS          : std_logic_vector(31 downto 0) := x"00000200"  --! Address of the first instruction to execute.
                RESET_ADDRESS          : std_logic_vector(31 downto 0) := x"00000200"  --! Address of the first instruction to execute.
        );
        );

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.