OpenCores
URL https://opencores.org/ocsvn/potato/potato/trunk

Subversion Repositories potato

[/] [potato/] [trunk/] [src/] [pp_csr_unit.vhd] - Diff between revs 3 and 45

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 3 Rev 45
Line 128... Line 128...
                                end if;
                                end if;
                        end if;
                        end if;
                end if;
                end if;
        end process write;
        end process write;
 
 
 
        status_out <= exception_context.status when exception_context_write = '1' else status_register;
 
 
        read: process(clk)
        read: process(clk)
        begin
        begin
                if rising_edge(clk) then
                if rising_edge(clk) then
                        if exception_context_write  = '1' then
                        --if exception_context_write  = '1' then
                                status_out <= exception_context.status;
                        --      status_out <= exception_context.status;
                        else
                        --else
                                status_out <= status_register;
                        --      status_out <= status_register;
                        end if;
                        --end if;
 
 
                        if write_mode /= CSR_WRITE_NONE and write_address = CSR_EVEC then
                        if write_mode /= CSR_WRITE_NONE and write_address = CSR_EVEC then
                                evec_out <= write_data_in;
                                evec_out <= write_data_in;
                        else
                        else
                                evec_out <= evec;
                                evec_out <= evec;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.