OpenCores
URL https://opencores.org/ocsvn/potato/potato/trunk

Subversion Repositories potato

[/] [potato/] [trunk/] [src/] [pp_decode.vhd] - Diff between revs 3 and 58

Show entire file | Details | Blame | View Log

Rev 3 Rev 58
Line 109... Line 109...
                        immediate => immediate_value
                        immediate => immediate_value
                );
                );
 
 
        decode_csr_addr: process(immediate_value)
        decode_csr_addr: process(immediate_value)
        begin
        begin
                if immediate_value(11 downto 0) = CSR_EPC_SRET then
                if immediate_value(11 downto 0) = CSR_EPC_ERET then
                        csr_addr <= CSR_EPC;
                        csr_addr <= CSR_MEPC;
                else
                else
                        csr_addr <= immediate_value(11 downto 0);
                        csr_addr <= immediate_value(11 downto 0);
                end if;
                end if;
        end process decode_csr_addr;
        end process decode_csr_addr;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.