OpenCores
URL https://opencores.org/ocsvn/potato/potato/trunk

Subversion Repositories potato

[/] [potato/] [trunk/] [src/] [pp_execute.vhd] - Diff between revs 17 and 18

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 17 Rev 18
Line 311... Line 311...
                        when others =>
                        when others =>
                                data_misaligned <= '0';
                                data_misaligned <= '0';
                end case;
                end case;
        end process data_misalign_check;
        end process data_misalign_check;
 
 
        instr_misalign_check: process(jump_target, branch, branch_condition)
        instr_misalign_check: process(jump_target, branch, branch_condition, do_jump)
        begin
        begin
                if jump_target(1 downto 0) /= b"00" and do_jump = '1' then
                if jump_target(1 downto 0) /= b"00" and do_jump = '1' then
                        instr_misaligned <= '1';
                        instr_misaligned <= '1';
                else
                else
                        instr_misaligned <= '0';
                        instr_misaligned <= '0';

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.