OpenCores
URL https://opencores.org/ocsvn/potato/potato/trunk

Subversion Repositories potato

[/] [potato/] [trunk/] [src/] [pp_execute.vhd] - Diff between revs 26 and 35

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 26 Rev 35
Line 188... Line 188...
                                status => exception_context_forwarded.status,
                                status => exception_context_forwarded.status,
                                cause => exception_cause,
                                cause => exception_cause,
                                badvaddr => exception_vaddr
                                badvaddr => exception_vaddr
                        ) when exception_taken = '1' else exception_context_forwarded;
                        ) when exception_taken = '1' else exception_context_forwarded;
 
 
        do_jump <= to_std_logic(branch = BRANCH_JUMP or branch = BRANCH_JUMP_INDIRECT)
        do_jump <= (to_std_logic(branch = BRANCH_JUMP or branch = BRANCH_JUMP_INDIRECT)
                or (to_std_logic(branch = BRANCH_CONDITIONAL) and branch_condition)
                or (to_std_logic(branch = BRANCH_CONDITIONAL) and branch_condition)
                or to_std_logic(branch = BRANCH_SRET);
                or to_std_logic(branch = BRANCH_SRET)) and not stall;
        jump_out <= do_jump;
        jump_out <= do_jump;
        jump_target_out <= jump_target;
        jump_target_out <= jump_target;
 
 
        evec_out <= evec_forwarded;
        evec_out <= evec_forwarded;
        exception_taken <= decode_exception or to_std_logic(exception_cause /= CSR_CAUSE_NONE) or irq_asserted;
        exception_taken <= decode_exception or to_std_logic(exception_cause /= CSR_CAUSE_NONE) or irq_asserted;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.