OpenCores
URL https://opencores.org/ocsvn/potato/potato/trunk

Subversion Repositories potato

[/] [potato/] [trunk/] [src/] [pp_fetch.vhd] - Diff between revs 45 and 58

Show entire file | Details | Blame | View Log

Rev 45 Rev 58
Line 9... Line 9...
use work.pp_constants.all;
use work.pp_constants.all;
 
 
--! @brief Instruction fetch unit.
--! @brief Instruction fetch unit.
entity pp_fetch is
entity pp_fetch is
        generic(
        generic(
                RESET_ADDRESS : std_logic_vector(31 downto 0) := x"00000000"
                RESET_ADDRESS : std_logic_vector(31 downto 0)
        );
        );
        port(
        port(
                clk    : in std_logic;
                clk    : in std_logic;
                reset  : in std_logic;
                reset  : in std_logic;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.