URL
https://opencores.org/ocsvn/potato/potato/trunk
[/] [potato/] [trunk/] [src/] [pp_potato.vhd] - Diff between revs 45 and 58
Go to most recent revision |
Show entire file |
Details |
Blame |
View Log
Rev 45 |
Rev 58 |
Line 10... |
Line 10... |
--! @brief The Potato Processor.
|
--! @brief The Potato Processor.
|
--! This file provides a Wishbone-compatible interface to the Potato processor.
|
--! This file provides a Wishbone-compatible interface to the Potato processor.
|
entity pp_potato is
|
entity pp_potato is
|
generic(
|
generic(
|
PROCESSOR_ID : std_logic_vector(31 downto 0) := x"00000000"; --! Processor ID.
|
PROCESSOR_ID : std_logic_vector(31 downto 0) := x"00000000"; --! Processor ID.
|
RESET_ADDRESS : std_logic_vector(31 downto 0) := x"00000000" --! Address of the first instruction to execute.
|
RESET_ADDRESS : std_logic_vector(31 downto 0) := x"00000200" --! Address of the first instruction to execute.
|
);
|
);
|
port(
|
port(
|
clk : in std_logic;
|
clk : in std_logic;
|
|
timer_clk : in std_logic;
|
reset : in std_logic;
|
reset : in std_logic;
|
|
|
-- Interrupts:
|
-- Interrupts:
|
irq : in std_logic_vector(7 downto 0);
|
irq : in std_logic_vector(7 downto 0);
|
|
|
Line 66... |
Line 67... |
PROCESSOR_ID => PROCESSOR_ID,
|
PROCESSOR_ID => PROCESSOR_ID,
|
RESET_ADDRESS => RESET_ADDRESS
|
RESET_ADDRESS => RESET_ADDRESS
|
) port map(
|
) port map(
|
clk => clk,
|
clk => clk,
|
reset => reset,
|
reset => reset,
|
timer_clk => clk,
|
timer_clk => timer_clk,
|
imem_address => imem_address,
|
imem_address => imem_address,
|
imem_data_in => imem_data,
|
imem_data_in => imem_data,
|
imem_req => imem_req,
|
imem_req => imem_req,
|
imem_ack => imem_ack,
|
imem_ack => imem_ack,
|
dmem_address => dmem_address,
|
dmem_address => dmem_address,
|
© copyright 1999-2024
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.