OpenCores
URL https://opencores.org/ocsvn/potato/potato/trunk

Subversion Repositories potato

[/] [potato/] [trunk/] [src/] [pp_types.vhd] - Diff between revs 3 and 14

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 3 Rev 14
Line 14... Line 14...
        type alu_operation is (
        type alu_operation is (
                        ALU_AND, ALU_OR, ALU_XOR,
                        ALU_AND, ALU_OR, ALU_XOR,
                        ALU_SLT, ALU_SLTU,
                        ALU_SLT, ALU_SLTU,
                        ALU_ADD, ALU_SUB,
                        ALU_ADD, ALU_SUB,
                        ALU_SRL, ALU_SLL, ALU_SRA,
                        ALU_SRL, ALU_SLL, ALU_SRA,
                        ALU_NOP
                        ALU_NOP, ALU_INVALID
                );
                );
 
 
        --! Types of branches.
        --! Types of branches.
        type branch_type is (
        type branch_type is (
                        BRANCH_NONE, BRANCH_JUMP, BRANCH_JUMP_INDIRECT, BRANCH_CONDITIONAL, BRANCH_SRET
                        BRANCH_NONE, BRANCH_JUMP, BRANCH_JUMP_INDIRECT, BRANCH_CONDITIONAL, BRANCH_SRET

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.