URL
https://opencores.org/ocsvn/potato/potato/trunk
[/] [potato/] [trunk/] [src/] [pp_types.vhd] - Diff between revs 14 and 45
Go to most recent revision |
Show entire file |
Details |
Blame |
View Log
Rev 14 |
Rev 45 |
Line 40... |
Line 40... |
--! Size of a memory operation:
|
--! Size of a memory operation:
|
type memory_operation_size is (
|
type memory_operation_size is (
|
MEMOP_SIZE_BYTE, MEMOP_SIZE_HALFWORD, MEMOP_SIZE_WORD
|
MEMOP_SIZE_BYTE, MEMOP_SIZE_HALFWORD, MEMOP_SIZE_WORD
|
);
|
);
|
|
|
|
--! Wishbone master output signals:
|
|
type wishbone_master_outputs is record
|
|
adr : std_logic_vector(31 downto 0);
|
|
sel : std_logic_vector( 3 downto 0);
|
|
cyc : std_logic;
|
|
stb : std_logic;
|
|
we : std_logic;
|
|
dat : std_logic_vector(31 downto 0);
|
|
end record;
|
|
|
|
--! Wishbone master input signals:
|
|
type wishbone_master_inputs is record
|
|
dat : std_logic_vector(31 downto 0);
|
|
ack : std_logic;
|
|
end record;
|
|
|
end package pp_types;
|
end package pp_types;
|
|
|
package body pp_types is
|
package body pp_types is
|
|
|
function memop_is_load(input : in memory_operation_type) return boolean is
|
function memop_is_load(input : in memory_operation_type) return boolean is
|
© copyright 1999-2024
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.