OpenCores
URL https://opencores.org/ocsvn/ppx16/ppx16/trunk

Subversion Repositories ppx16

[/] [ppx16/] [trunk/] [rtl/] [vhdl/] [PPX_TMR.vhd] - Diff between revs 3 and 11

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 3 Rev 11
Line 1... Line 1...
--
--
-- PIC16xx compatible microcontroller core
-- PIC16xx compatible microcontroller core
--
--
-- Version : 0146
-- Version : 0221
--
--
-- Copyright (c) 2001-2002 Daniel Wallner (jesus@opencores.org)
-- Copyright (c) 2001-2002 Daniel Wallner (jesus@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 59... Line 59...
                SE                      : in std_logic;
                SE                      : in std_logic;
                CS                      : in std_logic;
                CS                      : in std_logic;
                PS                      : in std_logic_vector(2 downto 0);
                PS                      : in std_logic_vector(2 downto 0);
                PSA                     : in std_logic;
                PSA                     : in std_logic;
                TMR_Sel         : in std_logic;
                TMR_Sel         : in std_logic;
                Rd                      : in std_logic;
 
                Wr                      : in std_logic;
                Wr                      : in std_logic;
                Data_In         : in std_logic_vector(7 downto 0);
                Data_In         : in std_logic_vector(7 downto 0);
                Data_Out        : out std_logic_vector(7 downto 0);
                Data_Out        : out std_logic_vector(7 downto 0);
                TOF                     : out std_logic
                TOF                     : out std_logic
        );
        );
Line 75... Line 74...
 
 
        signal  Tick    : std_logic;
        signal  Tick    : std_logic;
 
 
begin
begin
 
 
 
        Data_Out <= TMR;
 
 
        -- Registers and counter
        -- Registers and counter
        Data_Out <= TMR when Rd = '1' and TMR_Sel = '1' else "ZZZZZZZZ";
 
        process (Reset_n, Clk)
        process (Reset_n, Clk)
        begin
        begin
                if Reset_n = '0' then
                if Reset_n = '0' then
                        TMR <= "00000000";
                        TMR <= "00000000";
                        TOF <= '0';
                        TOF <= '0';

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.