OpenCores
URL https://opencores.org/ocsvn/present/present/trunk

Subversion Repositories present

[/] [present/] [trunk/] [DecodeTesting/] [bench/] [vhdl/] [PresentDecodeCommTB.vhd] - Diff between revs 4 and 11

Show entire file | Details | Blame | View Log

Rev 4 Rev 11
Line 122... Line 122...
      wait for 1000 ns;
      wait for 1000 ns;
                RESET <= '0';
                RESET <= '0';
 
 
      wait for CLK_period*10;
      wait for CLK_period*10;
 
 
 
          -- All data are sent in direction from LSB to MSB
 
 
          -- Reading first 'data' file  each "segment" is one bit of serial data
          -- Reading first 'data' file  each "segment" is one bit of serial data
      while not (endfile(txt)) loop
      while not (endfile(txt)) loop
                        readline(txt, line_in);  -- info line
                        readline(txt, line_in);  -- info line
                        read(line_in, line_content);
                        read(line_in, line_content);
                        report line_content;
                        report line_content;
Line 178... Line 180...
                        readline(txt, line_in);
                        readline(txt, line_in);
                        read(line_in, data);
                        read(line_in, data);
                        DATA_RXD <= data; -- parity bit
                        DATA_RXD <= data; -- parity bit
                        wait for 8.75 us;
                        wait for 8.75 us;
 
 
                        report "Koniec bajtu";
                        report "End of byte";
                        DATA_RXD <= '1'; -- stop bit
                        DATA_RXD <= '1'; -- stop bit
                        wait for 100 us;
                        wait for 100 us;
                end loop;
                end loop;
 
 
                -- Reading first 'key' file  each "segment" is one bit of serial data
                -- Reading first 'key' file  each "segment" is one bit of serial data
Line 237... Line 239...
                        readline(key, line_in);
                        readline(key, line_in);
                        read(line_in, data);
                        read(line_in, data);
                        DATA_RXD <= data; -- parity bit
                        DATA_RXD <= data; -- parity bit
                        wait for 8.75 us;
                        wait for 8.75 us;
 
 
                        report "Koniec bajtu";
                        report "End of byte";
                        DATA_RXD <= '1'; -- stop bit
                        DATA_RXD <= '1'; -- stop bit
                        wait for 100 us;
                        wait for 100 us;
                end loop;
                end loop;
 
 
                -- Cipher counting and sending result
                -- Cipher counting and sending result
Line 299... Line 301...
                        readline(txt2, line_in);
                        readline(txt2, line_in);
                        read(line_in, data);
                        read(line_in, data);
                        DATA_RXD <= data; -- parity bit
                        DATA_RXD <= data; -- parity bit
                        wait for 8.75 us;
                        wait for 8.75 us;
 
 
                        report "Koniec bajtu";
                        report "End of byte";
                        DATA_RXD <= '1'; -- stop bit
                        DATA_RXD <= '1'; -- stop bit
                        wait for 100 us;
                        wait for 100 us;
                end loop;
                end loop;
 
 
                -- Reading second 'key2' file  each "segment" is one bit of serial data
                -- Reading second 'key2' file  each "segment" is one bit of serial data
Line 358... Line 360...
                        readline(key2, line_in);
                        readline(key2, line_in);
                        read(line_in, data);
                        read(line_in, data);
                        DATA_RXD <= data; -- parity bit
                        DATA_RXD <= data; -- parity bit
                        wait for 8.75 us;
                        wait for 8.75 us;
 
 
                        report "Koniec bajtu";
                        report "End of byte";
                        DATA_RXD <= '1'; -- stop bit
                        DATA_RXD <= '1'; -- stop bit
                        wait for 100 us;
                        wait for 100 us;
                end loop;
                end loop;
 
 
                -- Cipher counting and sending result
                -- Cipher counting and sending result

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.