OpenCores
URL https://opencores.org/ocsvn/present/present/trunk

Subversion Repositories present

[/] [present/] [trunk/] [Pure/] [bench/] [vhdl/] [sLayerTB.vhd] - Diff between revs 12 and 19

Show entire file | Details | Blame | View Log

Rev 12 Rev 19
Line 98... Line 98...
      wait for 100ns;
      wait for 100ns;
                reset <= '0';
                reset <= '0';
      wait for clk_period;
      wait for clk_period;
 
 
---- Preparation for test case 1 -----------------
---- Preparation for test case 1 -----------------
--   inpput <= x"0";
--   input <= x"0";
--   expected_output <= x"";
--   expected_output <= x"C";
--------------------------------------------------
--------------------------------------------------
 
 
                input <= x"0";
                input <= x"0";
      wait for clk_period;
      wait for clk_period;
 
 
Line 113... Line 113...
                else
                else
                        report "Test case 1 successful" severity note;
                        report "Test case 1 successful" severity note;
                end if;
                end if;
 
 
---- Preparation for test case 2 -----------------
---- Preparation for test case 2 -----------------
--   inpput <= x"0";
--   input <= x"A";
--   expected_output <= x"";
--   expected_output <= x"F";
--------------------------------------------------
--------------------------------------------------
 
 
                input <= x"A";
                input <= x"A";
      wait for clk_period;
      wait for clk_period;
 
 
Line 128... Line 128...
                else
                else
                        report "Test case 2 successful" severity note;
                        report "Test case 2 successful" severity note;
                end if;
                end if;
 
 
---- Preparation for test case 3 -----------------
---- Preparation for test case 3 -----------------
--   inpput <= x"0";
--   input <= x"F";
--   expected_output <= x"";
--   expected_output <= x"2";
--------------------------------------------------
--------------------------------------------------
 
 
                input <= x"F";
                input <= x"F";
      wait for clk_period;
      wait for clk_period;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.