OpenCores
URL https://opencores.org/ocsvn/present/present/trunk

Subversion Repositories present

[/] [present/] [trunk/] [PureTesting/] [bench/] [vhdl/] [PresentCommTB.vhd] - Diff between revs 4 and 8

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 4 Rev 8
Line 104... Line 104...
 
 
   -- Stimulus process
   -- Stimulus process
   stim_proc: process
   stim_proc: process
 
 
        -- Variables
        -- Variables
        file txt :text is in "test/data.txt";
        file txt :text is in "../src/test/data.txt";
        file key  :text is in "test/key.txt";
        file key  :text is in "../src/test/key.txt";
        file txt2 :text is in "test/data2.txt";
        file txt2 :text is in "../src/test/data2.txt";
        file key2  :text is in "test/key2.txt";
        file key2  :text is in "../src/test/key2.txt";
 
 
        variable line_in      : line;
        variable line_in      : line;
        variable line_content : string(1 to 8);
        variable line_content : string(1 to 8);
        variable data         : STD_LOGIC;
        variable data         : STD_LOGIC;
 
 
Line 299... Line 299...
                        readline(txt2, line_in);
                        readline(txt2, line_in);
                        read(line_in, data);
                        read(line_in, data);
                        DATA_RXD <= data; -- parity bit
                        DATA_RXD <= data; -- parity bit
                        wait for 8.75 us;
                        wait for 8.75 us;
 
 
                        report "Koniec bajtu";
                        report "End of byte";
                        DATA_RXD <= '1'; -- stop bit
                        DATA_RXD <= '1'; -- stop bit
                        wait for 100 us;
                        wait for 100 us;
                end loop;
                end loop;
 
 
                -- Reading second 'key2' file  each "segment" is one bit of serial data
                -- Reading second 'key2' file  each "segment" is one bit of serial data
Line 358... Line 358...
                        readline(key2, line_in);
                        readline(key2, line_in);
                        read(line_in, data);
                        read(line_in, data);
                        DATA_RXD <= data; -- parity bit
                        DATA_RXD <= data; -- parity bit
                        wait for 8.75 us;
                        wait for 8.75 us;
 
 
                        report "Koniec bajtu";
                        report "End of byte";
                        DATA_RXD <= '1'; -- stop bit
                        DATA_RXD <= '1'; -- stop bit
                        wait for 100 us;
                        wait for 100 us;
                end loop;
                end loop;
 
 
                -- Cipher counting and sending result
                -- Cipher counting and sending result

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.