OpenCores
URL https://opencores.org/ocsvn/ps2/ps2/trunk

Subversion Repositories ps2

[/] [ps2/] [tags/] [rel_6/] [bench/] [verilog/] [ps2_test_bench.v] - Diff between revs 2 and 5

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 5
Line 41... Line 41...
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
//
//
// CVS Revision History
// CVS Revision History
//
//
// $Log: not supported by cvs2svn $
// $Log: not supported by cvs2svn $
 
// Revision 1.1.1.1  2002/02/18 16:16:55  mihad
 
// Initial project import - working
 
//
//
//
 
 
`include "timescale.v"
`include "timescale.v"
`include "ps2_testbench_defines.v"
`include "ps2_testbench_defines.v"
`include "ps2_defines.v"
`include "ps2_defines.v"
Line 1102... Line 1105...
            $display("Time %t", $time) ;
            $display("Time %t", $time) ;
            $display("Error! Character read from controler not as expected!") ;
            $display("Error! Character read from controler not as expected!") ;
        end
        end
    end
    end
    join
    join
 
 
 
    // do D2 command, that copies parameter in input buffer to output buffer
 
    kbd_write( `KBD_CNTL_REG, 32'hD2, ok_controler ) ;
 
    if ( ok_controler !== 1 )
 
        disable main ;
 
 
 
    kbd_write(`KBD_DATA_REG, 32'h5555_5555, ok_controler) ;
 
 
 
    if ( ok_controler !== 1 )
 
        disable main ;
 
 
 
    return_scan_code_on_irq( data, ok_controler ) ;
 
    if ( ok_controler !== 1 )
 
        disable main ;
 
 
 
    if ( data !== 8'h55 )
 
    begin
 
        $display("Error! D2 command doesn't work properly") ;
 
    end
 
 
end
end
endtask // test_keyboard_inhibit
endtask // test_keyboard_inhibit
 
 
task test_print_screen_and_pause_scancodes ;
task test_print_screen_and_pause_scancodes ;
    reg ok ;
    reg ok ;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.