OpenCores
URL https://opencores.org/ocsvn/raptor64/raptor64/trunk

Subversion Repositories raptor64

[/] [raptor64/] [trunk/] [rtl/] [verilog/] [Raptor64mc_tb.v] - Diff between revs 14 and 20

Show entire file | Details | Blame | View Log

Rev 14 Rev 20
Line 45... Line 45...
 
 
always #10 clk = ~clk;  //  50 MHz
always #10 clk = ~clk;  //  50 MHz
 
 
always @(sys_adr)
always @(sys_adr)
case(sys_adr | 64'hFFFF_FFFF_FFFF_0000)
case(sys_adr | 64'hFFFF_FFFF_FFFF_0000)
64'hFFFFFFFFFFFFF000:   iromout <= 32'h020013FD;
64'h70: iromout <= 32'h00000020;
64'hFFFFFFFFFFFFF004:   iromout <= 32'h0010AC50;
64'h74: iromout <= 32'h00000000;
64'hFFFFFFFFFFFFF008:   iromout <= 32'h01814010;
64'h78: iromout <= 32'h000DE000;
64'hFFFFFFFFFFFFF00C:   iromout <= 32'h01044500;
64'h7C: iromout <= 32'h37800000;
64'hFFFFFFFFFFFFF010:   iromout <= 32'hFFFFFFFF;
64'h80: iromout <= 32'h00000000;
64'hFFFFFFFFFFFFF014:   iromout <= 32'h400003FF;
64'h84: iromout <= 32'h00000378;
64'hFFFFFFFFFFFFF018:   iromout <= 32'h0321400F;
64'h88: iromout <= 32'h000DE000;
64'hFFFFFFFFFFFFF01C:   iromout <= 32'h05004000;
64'h8C: iromout <= 32'h37800000;
64'hFFFFFFFFFFFFF020:   iromout <= 32'h0600064C;
64'hFFFFFFFFFFFFF000:   iromout <= 32'h02000AA8;
64'hFFFFFFFFFFFFF024:   iromout <= 32'h00000050;
64'hFFFFFFFFFFFFF004:   iromout <= 32'h00062408;
64'hFFFFFFFFFFFFF028:   iromout <= 32'h00262110;
64'hFFFFFFFFFFFFF008:   iromout <= 32'h210BE100;
64'hFFFFFFFFFFFFF02C:   iromout <= 32'h02842000;
64'hFFFFFFFFFFFFF00C:   iromout <= 32'h0A176543;
64'hFFFFFFFFFFFFF030:   iromout <= 32'hC6000001;
64'hFFFFFFFFFFFFF010:   iromout <= 32'h400008A9;
64'hFFFFFFFFFFFFF034:   iromout <= 32'hFFFEA430;
64'hFFFFFFFFFFFFF014:   iromout <= 32'h0026A408;
64'hFFFFFFFFFFFFF038:   iromout <= 32'hC18BE307;
64'hFFFFFFFFFFFFF018:   iromout <= 32'hA9802100;
64'hFFFFFFFFFFFFF03C:   iromout <= 32'h0C7FFFFF;
64'hFFFFFFFFFFFFF01C:   iromout <= 32'h0A1FEDCB;
64'hFFFFFFFFFFFFF040:   iromout <= 32'h00000000;
64'hFFFFFFFFFFFFF020:   iromout <= 32'h40000929;
64'hFFFFFFFFFFFFF044:   iromout <= 32'h00000378;
64'hFFFFFFFFFFFFF024:   iromout <= 32'h0028A408;
64'hFFFFFFFFFFFFF048:   iromout <= 32'h000DE000;
64'hFFFFFFFFFFFFF028:   iromout <= 32'h08802100;
64'hFFFFFFFFFFFFF04C:   iromout <= 32'h37800000;
64'hFFFFFFFFFFFFF02C:   iromout <= 32'h2F800000;
64'hFFFFFFFFFFFFF060:   iromout <= 32'hFFFFFFFF;
64'hFFFFFFFFFFFFF030:   iromout <= 32'h020013FD;
64'hFFFFFFFFFFFFF064:   iromout <= 32'h700003FF;
64'hFFFFFFFFFFFFF034:   iromout <= 32'h0010AC58;
64'hFFFFFFFFFFFFF068:   iromout <= 32'h0001400F;
64'hFFFFFFFFFFFFF038:   iromout <= 32'h01816010;
64'hFFFFFFFFFFFFF06C:   iromout <= 32'h10044000;
64'hFFFFFFFFFFFFF03C:   iromout <= 32'h01044500;
64'hFFFFFFFFFFFFF070:   iromout <= 32'h81FFFFC1;
64'hFFFFFFFFFFFFF040:   iromout <= 32'hFFFFFFFF;
64'hFFFFFFFFFFFFF074:   iromout <= 32'h000006F8;
64'hFFFFFFFFFFFFF044:   iromout <= 32'h400003FF;
64'hFFFFFFFFFFFFF078:   iromout <= 32'h00040100;
64'hFFFFFFFFFFFFF048:   iromout <= 32'h0321600F;
64'hFFFFFFFFFFFFF07C:   iromout <= 32'h0D83E000;
64'hFFFFFFFFFFFFF04C:   iromout <= 32'h05804000;
64'hFFFFFFFFFFFFF080:   iromout <= 32'h00800009;
64'hFFFFFFFFFFFFF050:   iromout <= 32'h06000014;
64'hFFFFFFFFFFFFF084:   iromout <= 32'hAAAB5410;
64'hFFFFFFFFFFFFF054:   iromout <= 32'h00000058;
64'hFFFFFFFFFFFFF088:   iromout <= 32'h555F5554;
64'hFFFFFFFFFFFFF058:   iromout <= 32'h00262110;
64'hFFFFFFFFFFFFF08C:   iromout <= 32'h05002AA5;
64'hFFFFFFFFFFFFF05C:   iromout <= 32'h02842000;
64'hFFFFFFFFFFFFF090:   iromout <= 32'h02000000;
64'hFFFFFFFFFFFFF060:   iromout <= 32'hC6000001;
64'hFFFFFFFFFFFFF094:   iromout <= 32'h0000019A;
64'hFFFFFFFFFFFFF064:   iromout <= 32'hFFFEA430;
64'hFFFFFFFFFFFFF098:   iromout <= 32'h00646810;
64'hFFFFFFFFFFFFF068:   iromout <= 32'hC24BE307;
64'hFFFFFFFFFFFFF09C:   iromout <= 32'h01044300;
64'hFFFFFFFFFFFFF06C:   iromout <= 32'h0C7FFFFF;
64'hFFFFFFFFFFFFF0A0:   iromout <= 32'hC00000A9;
64'hFFFFFFFFFFFFF070:   iromout <= 32'h00000000;
64'hFFFFFFFFFFFFF0A4:   iromout <= 32'h000022F8;
64'hFFFFFFFFFFFFF074:   iromout <= 32'h00000378;
64'hFFFFFFFFFFFFF0A8:   iromout <= 32'h0000A840;
64'hFFFFFFFFFFFFF078:   iromout <= 32'h000DE000;
64'hFFFFFFFFFFFFF0AC:   iromout <= 32'h03A06000;
64'hFFFFFFFFFFFFF07C:   iromout <= 32'h37800000;
64'hFFFFFFFFFFFFF0B0:   iromout <= 32'hC1FFFF00;
64'hFFFFFFFFFFFFF090:   iromout <= 32'hFFFFFFFF;
64'hFFFFFFFFFFFFF0B4:   iromout <= 32'h800026F8;
64'hFFFFFFFFFFFFF094:   iromout <= 32'h700003FF;
64'hFFFFFFFFFFFFF0B8:   iromout <= 32'h00904802;
64'hFFFFFFFFFFFFF098:   iromout <= 32'h0001600F;
64'hFFFFFFFFFFFFF0BC:   iromout <= 32'h01000800;
64'hFFFFFFFFFFFFF09C:   iromout <= 32'h10044000;
64'hFFFFFFFFFFFFF0C0:   iromout <= 32'h04000000;
64'hFFFFFFFFFFFFF0A0:   iromout <= 32'h81FFFFC1;
64'hFFFFFFFFFFFFF0C4:   iromout <= 32'hA955551A;
64'hFFFFFFFFFFFFF0A4:   iromout <= 32'h000006F8;
64'hFFFFFFFFFFFFF0C8:   iromout <= 32'h1090E21A;
64'hFFFFFFFFFFFFF0A8:   iromout <= 32'h00040100;
64'hFFFFFFFFFFFFF0CC:   iromout <= 32'h2F8C0000;
64'hFFFFFFFFFFFFF0AC:   iromout <= 32'h0D83E000;
64'hFFFFFFFFFFFFF0D0:   iromout <= 32'h10000008;
64'hFFFFFFFFFFFFF0B0:   iromout <= 32'h00800009;
64'hFFFFFFFFFFFFF0D4:   iromout <= 32'h00000022;
64'hFFFFFFFFFFFFF0B4:   iromout <= 32'hAAAB5410;
64'hFFFFFFFFFFFFF0D8:   iromout <= 32'hF800E81C;
64'hFFFFFFFFFFFFF0B8:   iromout <= 32'h555F5554;
64'hFFFFFFFFFFFFF0DC:   iromout <= 32'h2F8C1FFF;
64'hFFFFFFFFFFFFF0BC:   iromout <= 32'h05802AA5;
64'hFFFFFFFFFFFFF0E0:   iromout <= 32'h14000329;
64'hFFFFFFFFFFFFF0C0:   iromout <= 32'h02000000;
64'hFFFFFFFFFFFFF0E4:   iromout <= 32'h000026FA;
64'hFFFFFFFFFFFFF0C4:   iromout <= 32'h0000019A;
64'hFFFFFFFFFFFFF0E8:   iromout <= 32'h52A04002;
64'hFFFFFFFFFFFFF0C8:   iromout <= 32'h00646810;
64'hFFFFFFFFFFFFF0EC:   iromout <= 32'h3AAAAD55;
64'hFFFFFFFFFFFFF0CC:   iromout <= 32'h01044300;
64'hFFFFFFFFFFFFF0F0:   iromout <= 32'h0355AAAA;
64'hFFFFFFFFFFFFF0D0:   iromout <= 32'hC00000A9;
64'hFFFFFFFFFFFFF0F4:   iromout <= 32'h00000050;
64'hFFFFFFFFFFFFF0D4:   iromout <= 32'h000022F8;
64'hFFFFFFFFFFFFF0F8:   iromout <= 32'h00066808;
64'hFFFFFFFFFFFFF0D8:   iromout <= 32'h0000A840;
64'hFFFFFFFFFFFFF0FC:   iromout <= 32'h11A04000;
64'hFFFFFFFFFFFFF0DC:   iromout <= 32'h04206000;
64'hFFFFFFFFFFFFF100:   iromout <= 32'h44300006;
64'hFFFFFFFFFFFFF0E0:   iromout <= 32'hC1FFFF00;
64'hFFFFFFFFFFFFF104:   iromout <= 32'h00032410;
64'hFFFFFFFFFFFFF0E4:   iromout <= 32'h800026F8;
64'hFFFFFFFFFFFFF108:   iromout <= 32'h008BE300;
64'hFFFFFFFFFFFFF0E8:   iromout <= 32'h00904802;
64'hFFFFFFFFFFFFF10C:   iromout <= 32'h02210000;
64'hFFFFFFFFFFFFF0EC:   iromout <= 32'h01000800;
64'hFFFFFFFFFFFFF110:   iromout <= 32'h07000000;
64'hFFFFFFFFFFFFF0F0:   iromout <= 32'h04000000;
64'hFFFFFFFFFFFFF114:   iromout <= 32'hFFFC803A;
64'hFFFFFFFFFFFFF0F4:   iromout <= 32'hA955551A;
64'hFFFFFFFFFFFFF118:   iromout <= 32'h009BE307;
64'hFFFFFFFFFFFFF0F8:   iromout <= 32'h1091021A;
64'hFFFFFFFFFFFFF11C:   iromout <= 32'h01200B00;
64'hFFFFFFFFFFFFF0FC:   iromout <= 32'h2F8C0000;
64'hFFFFFFFFFFFFF120:   iromout <= 32'h00800009;
64'hFFFFFFFFFFFFF100:   iromout <= 32'h10000008;
64'hFFFFFFFFFFFFF124:   iromout <= 32'h00000010;
64'hFFFFFFFFFFFFF104:   iromout <= 32'h00000022;
64'hFFFFFFFFFFFFF128:   iromout <= 32'hAAA46810;
64'hFFFFFFFFFFFFF108:   iromout <= 32'hF801081C;
64'hFFFFFFFFFFFFF12C:   iromout <= 32'h0388755A;
64'hFFFFFFFFFFFFF10C:   iromout <= 32'h2F8C1FFF;
64'hFFFFFFFFFFFFF130:   iromout <= 32'hC00000A9;
64'hFFFFFFFFFFFFF110:   iromout <= 32'h14000329;
64'hFFFFFFFFFFFFF134:   iromout <= 32'h000022F8;
64'hFFFFFFFFFFFFF114:   iromout <= 32'h000026FA;
64'hFFFFFFFFFFFFF138:   iromout <= 32'h00008840;
64'hFFFFFFFFFFFFF118:   iromout <= 32'h52A04002;
64'hFFFFFFFFFFFFF13C:   iromout <= 32'h03A07000;
64'hFFFFFFFFFFFFF11C:   iromout <= 32'h3AAAAD55;
64'hFFFFFFFFFFFFF140:   iromout <= 32'hC1FFFF20;
64'hFFFFFFFFFFFFF120:   iromout <= 32'h0355AAAA;
64'hFFFFFFFFFFFFF144:   iromout <= 32'h000222F8;
64'hFFFFFFFFFFFFF124:   iromout <= 32'h00000058;
64'hFFFFFFFFFFFFF148:   iromout <= 32'h014BE858;
64'hFFFFFFFFFFFFF128:   iromout <= 32'h00066808;
64'hFFFFFFFFFFFFF14C:   iromout <= 32'h01216800;
64'hFFFFFFFFFFFFF12C:   iromout <= 32'h11A04000;
64'hFFFFFFFFFFFFF150:   iromout <= 32'h14000048;
64'hFFFFFFFFFFFFF130:   iromout <= 32'h44300006;
64'hFFFFFFFFFFFFF154:   iromout <= 32'h000052FA;
64'hFFFFFFFFFFFFF134:   iromout <= 32'h00032410;
64'hFFFFFFFFFFFFF158:   iromout <= 32'h40004852;
64'hFFFFFFFFFFFFF138:   iromout <= 32'h008BE300;
64'hFFFFFFFFFFFFF15C:   iromout <= 32'h19810000;
64'hFFFFFFFFFFFFF13C:   iromout <= 32'h02210000;
64'hFFFFFFFFFFFFF160:   iromout <= 32'h3E000000;
64'hFFFFFFFFFFFFF140:   iromout <= 32'h07000000;
64'hFFFFFFFFFFFFF164:   iromout <= 32'h000080D8;
64'hFFFFFFFFFFFFF144:   iromout <= 32'hFFFC8042;
64'hFFFFFFFFFFFFF168:   iromout <= 32'h03200000;
64'hFFFFFFFFFFFFF148:   iromout <= 32'h009BE307;
64'hFFFFFFFFFFFFF16C:   iromout <= 32'h00802000;
64'hFFFFFFFFFFFFF14C:   iromout <= 32'h01200B00;
64'hFFFFFFFFFFFFF170:   iromout <= 32'h41FFFFC9;
64'hFFFFFFFFFFFFF150:   iromout <= 32'h00800009;
64'hFFFFFFFFFFFFF174:   iromout <= 32'hFC0002F8;
64'hFFFFFFFFFFFFF154:   iromout <= 32'h00000010;
64'hFFFFFFFFFFFFF178:   iromout <= 32'h0086600F;
64'hFFFFFFFFFFFFF158:   iromout <= 32'hAAA46810;
64'hFFFFFFFFFFFFF17C:   iromout <= 32'h19805FF0;
64'hFFFFFFFFFFFFF15C:   iromout <= 32'h0408755A;
64'hFFFFFFFFFFFFF180:   iromout <= 32'h02000228;
64'hFFFFFFFFFFFFF160:   iromout <= 32'hC00000A9;
64'hFFFFFFFFFFFFF184:   iromout <= 32'h0010A008;
64'hFFFFFFFFFFFFF164:   iromout <= 32'h000022F8;
64'hFFFFFFFFFFFFF188:   iromout <= 32'h5A902010;
64'hFFFFFFFFFFFFF168:   iromout <= 32'h00008840;
64'hFFFFFFFFFFFFF18C:   iromout <= 32'h00880000;
64'hFFFFFFFFFFFFF16C:   iromout <= 32'h04207000;
64'hFFFFFFFFFFFFF190:   iromout <= 32'h84680001;
64'hFFFFFFFFFFFFF170:   iromout <= 32'hC1FFFF20;
64'hFFFFFFFFFFFFF194:   iromout <= 32'h40000C18;
64'hFFFFFFFFFFFFF174:   iromout <= 32'h000222F8;
64'hFFFFFFFFFFFFF198:   iromout <= 32'h00004110;
64'hFFFFFFFFFFFFF178:   iromout <= 32'h014BE858;
64'hFFFFFFFFFFFFF19C:   iromout <= 32'h11844000;
64'hFFFFFFFFFFFFF17C:   iromout <= 32'h01216800;
64'hFFFFFFFFFFFFF1A0:   iromout <= 32'h80000529;
64'hFFFFFFFFFFFFF180:   iromout <= 32'h14000048;
64'hFFFFFFFFFFFFF1A4:   iromout <= 32'h0000D008;
64'hFFFFFFFFFFFFF184:   iromout <= 32'h000052FA;
64'hFFFFFFFFFFFFF1A8:   iromout <= 32'h03302000;
64'hFFFFFFFFFFFFF188:   iromout <= 32'h40004852;
64'hFFFFFFFFFFFFF1AC:   iromout <= 32'h00800000;
64'hFFFFFFFFFFFFF18C:   iromout <= 32'h19810000;
64'hFFFFFFFFFFFFF1B0:   iromout <= 32'h03FF0000;
64'hFFFFFFFFFFFFF190:   iromout <= 32'h3E000000;
64'hFFFFFFFFFFFFF1B4:   iromout <= 32'hFC002118;
64'hFFFFFFFFFFFFF194:   iromout <= 32'h000080D8;
64'hFFFFFFFFFFFFF1B8:   iromout <= 32'h02046017;
64'hFFFFFFFFFFFFF198:   iromout <= 32'h03400000;
64'hFFFFFFFFFFFFF1BC:   iromout <= 32'h00000000;
64'hFFFFFFFFFFFFF19C:   iromout <= 32'h00802000;
64'hFFFFFFFFFFFFFFB0:   iromout <= 32'hFFFFFC5A;
64'hFFFFFFFFFFFFF1A0:   iromout <= 32'h41FFFFC9;
 
64'hFFFFFFFFFFFFF1A4:   iromout <= 32'hFC0002F8;
 
64'hFFFFFFFFFFFFF1A8:   iromout <= 32'h0086600F;
 
64'hFFFFFFFFFFFFF1AC:   iromout <= 32'h19805FF0;
 
64'hFFFFFFFFFFFFF1B0:   iromout <= 32'h02000228;
 
64'hFFFFFFFFFFFFF1B4:   iromout <= 32'h0010A008;
 
64'hFFFFFFFFFFFFF1B8:   iromout <= 32'hFA902010;
 
64'hFFFFFFFFFFFFF1BC:   iromout <= 32'hFFFFFFFF;
 
64'hFFFFFFFFFFFFF1C0:   iromout <= 32'h84680001;
 
64'hFFFFFFFFFFFFF1C4:   iromout <= 32'h40000C18;
 
64'hFFFFFFFFFFFFF1C8:   iromout <= 32'h00004110;
 
64'hFFFFFFFFFFFFF1CC:   iromout <= 32'h11844000;
 
64'hFFFFFFFFFFFFF1D0:   iromout <= 32'hFFFFFFA9;
 
64'hFFFFFFFFFFFFF1D4:   iromout <= 32'h0000CFFF;
 
64'hFFFFFFFFFFFFF1D8:   iromout <= 32'h03502000;
 
64'hFFFFFFFFFFFFF1DC:   iromout <= 32'h00800000;
 
64'hFFFFFFFFFFFFF1E0:   iromout <= 32'h03FF0000;
 
64'hFFFFFFFFFFFFF1E4:   iromout <= 32'hFC002118;
 
64'hFFFFFFFFFFFFF1E8:   iromout <= 32'h02046017;
 
64'hFFFFFFFFFFFFF1EC:   iromout <= 32'h00000000;
 
64'hFFFFFFFFFFFFFFB0:   iromout <= 32'hFFFFFC66;
64'hFFFFFFFFFFFFFFB4:   iromout <= 32'h000000CF;
64'hFFFFFFFFFFFFFFB4:   iromout <= 32'h000000CF;
64'hFFFFFFFFFFFFFFB8:   iromout <= 32'h000DE000;
64'hFFFFFFFFFFFFFFB8:   iromout <= 32'h000DE000;
64'hFFFFFFFFFFFFFFBC:   iromout <= 32'h37800000;
64'hFFFFFFFFFFFFFFBC:   iromout <= 32'h37800000;
64'hFFFFFFFFFFFFFFC0:   iromout <= 32'h00000000;
64'hFFFFFFFFFFFFFFC0:   iromout <= 32'hFFFFFC66;
64'hFFFFFFFFFFFFFFC4:   iromout <= 32'h00000378;
64'hFFFFFFFFFFFFFFC4:   iromout <= 32'h000000CF;
64'hFFFFFFFFFFFFFFC8:   iromout <= 32'h000DE000;
64'hFFFFFFFFFFFFFFC8:   iromout <= 32'h000DE000;
64'hFFFFFFFFFFFFFFCC:   iromout <= 32'h37800000;
64'hFFFFFFFFFFFFFFCC:   iromout <= 32'h37800000;
64'hFFFFFFFFFFFFFFD0:   iromout <= 32'h00000000;
64'hFFFFFFFFFFFFFFD0:   iromout <= 32'h00000000;
64'hFFFFFFFFFFFFFFD4:   iromout <= 32'h00000378;
64'hFFFFFFFFFFFFFFD4:   iromout <= 32'h00000378;
64'hFFFFFFFFFFFFFFD8:   iromout <= 32'h000DE000;
64'hFFFFFFFFFFFFFFD8:   iromout <= 32'h000DE000;
64'hFFFFFFFFFFFFFFDC:   iromout <= 32'h37800000;
64'hFFFFFFFFFFFFFFDC:   iromout <= 32'h37800000;
64'hFFFFFFFFFFFFFFE0:   iromout <= 32'hFFFFFC59;
64'hFFFFFFFFFFFFFFE0:   iromout <= 32'hFFFFFC65;
64'hFFFFFFFFFFFFFFE4:   iromout <= 32'h000000CF;
64'hFFFFFFFFFFFFFFE4:   iromout <= 32'h000000CF;
64'hFFFFFFFFFFFFFFE8:   iromout <= 32'h000DE000;
64'hFFFFFFFFFFFFFFE8:   iromout <= 32'h000DE000;
64'hFFFFFFFFFFFFFFEC:   iromout <= 32'h37800000;
64'hFFFFFFFFFFFFFFEC:   iromout <= 32'h37800000;
64'hFFFFFFFFFFFFFFF0:   iromout <= 32'hFFFFFC00;
64'hFFFFFFFFFFFFFFF0:   iromout <= 32'hFFFFFC00;
64'hFFFFFFFFFFFFFFF4:   iromout <= 32'h000000CF;
64'hFFFFFFFFFFFFFFF4:   iromout <= 32'h000000CF;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.