OpenCores
URL https://opencores.org/ocsvn/raptor64/raptor64/trunk

Subversion Repositories raptor64

[/] [raptor64/] [trunk/] [software/] [sample code/] [bootrom.v] - Diff between revs 10 and 27

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 10 Rev 27
Line 1... Line 1...
64'hFFFFFFFFFFFFF000:   romout <= 32'h020013FD;
64'hFFFFFFFFFFFFE800:   romout <= 64'hFFE980CFFFFFFA54;
64'hFFFFFFFFFFFFF004:   romout <= 32'h00006048;
64'hFFFFFFFFFFFFE808:   romout <= 64'h0CFFFFFFA9033FFF;
64'hFFFFFFFFFFFFF008:   romout <= 32'h01802120;
64'hFFFFFFFFFFFFE810:   romout <= 64'hFFEA48CFFFFFFAA6;
64'hFFFFFFFFFFFFF00C:   romout <= 32'h00848000;
64'hFFFFFFFFFFFFE818:   romout <= 64'h0CFFFFFFB6533FFF;
64'hFFFFFFFFFFFFF010:   romout <= 32'h0400042B;
64'hFFFFFFFFFFFFE820:   romout <= 64'h000028CFFFFFFBF5;
64'hFFFFFFFFFFFFF014:   romout <= 32'h40006048;
64'hFFFFFFFFFFFFE828:   romout <= 64'h0000000000C00000;
64'hFFFFFFFFFFFFF018:   romout <= 32'h00004111;
64'hFFFFFFFFFFFFE830:   romout <= 64'hFFE9B8BEFFFEFFF8;
64'hFFFFFFFFFFFFF01C:   romout <= 32'h04803D00;
64'hFFFFFFFFFFFFE838:   romout <= 64'h0C7FFFFFA5031FFF;
64'hFFFFFFFFFFFFF020:   romout <= 32'h04000032;
64'hFFFFFFFFFFFFE840:   romout <= 64'h0003380000000040;
64'hFFFFFFFFFFFFF024:   romout <= 32'h00193048;
64'hFFFFFFFFFFFFE848:   romout <= 64'h1880600041428C00;
64'hFFFFFFFFFFFFF028:   romout <= 32'h00012018;
64'hFFFFFFFFFFFFE850:   romout <= 64'h0000810806000414;
64'hFFFFFFFFFFFFF02C:   romout <= 32'h18844000;
64'hFFFFFFFFFFFFE858:   romout <= 64'h1880600041628C00;
64'hFFFFFFFFFFFFF030:   romout <= 32'h42000002;
64'hFFFFFFFFFFFFE860:   romout <= 64'h0010590804000416;
64'hFFFFFFFFFFFFF034:   romout <= 32'h00000420;
64'hFFFFFFFFFFFFE868:   romout <= 64'h2F8860000A842010;
64'hFFFFFFFFFFFFF038:   romout <= 32'hFFE0A318;
64'hFFFFFFFFFFFFE870:   romout <= 64'h001050000000000D;
64'hFFFFFFFFFFFFF03C:   romout <= 32'h288C1FFF;
64'hFFFFFFFFFFFFE878:   romout <= 64'h0C7FFFFFB0642018;
64'hFFFFFFFFFFFFF040:   romout <= 32'hFFFFFC16;
64'hFFFFFFFFFFFFE880:   romout <= 64'h001058C7FFFFFAF4;
64'hFFFFFFFFFFFFF044:   romout <= 32'h000000C7;
64'hFFFFFFFFFFFFE888:   romout <= 64'h1880000041862000;
64'hFFFFFFFFFFFFF048:   romout <= 32'h000DE000;
64'hFFFFFFFFFFFFE890:   romout <= 64'hFFFFFCA1FFFFE920;
64'hFFFFFFFFFFFFF04C:   romout <= 32'h37800000;
64'hFFFFFFFFFFFFE898:   romout <= 64'h0C7FFFFFBF5387FF;
64'hFFFFFFFFFFFFF050:   romout <= 32'h00000000;
64'hFFFFFFFFFFFFE8A0:   romout <= 64'h0005240802000AA8;
64'hFFFFFFFFFFFFF054:   romout <= 32'h00000378;
64'hFFFFFFFFFFFFE8A8:   romout <= 64'h0A176543210BE100;
64'hFFFFFFFFFFFFF058:   romout <= 32'h000DE000;
64'hFFFFFFFFFFFFE8B0:   romout <= 64'h0026A408400008A9;
64'hFFFFFFFFFFFFF05C:   romout <= 32'h04803DC0;
64'hFFFFFFFFFFFFE8B8:   romout <= 64'h0A1FEDCBA9802100;
64'hFFFFFFFFFFFFF060:   romout <= 32'h44000000;
64'hFFFFFFFFFFFFE8C0:   romout <= 64'h0028A40840000929;
64'hFFFFFFFFFFFFF064:   romout <= 32'h00000100;
64'hFFFFFFFFFFFFE8C8:   romout <= 64'h0CFFFFFFCA602100;
64'hFFFFFFFFFFFFF068:   romout <= 32'h001AA200;
64'hFFFFFFFFFFFFE8D0:   romout <= 64'h000062F801FFFFCA;
64'hFFFFFFFFFFFFF06C:   romout <= 32'h10040000;
64'hFFFFFFFFFFFFE8D8:   romout <= 64'h27F400000030FEF0;
64'hFFFFFFFFFFFFF070:   romout <= 32'h3E000000;
64'hFFFFFFFFFFFFE8E0:   romout <= 64'h000000A2FFFFE910;
64'hFFFFFFFFFFFFF074:   romout <= 32'h000024D8;
64'hFFFFFFFFFFFFE8E8:   romout <= 64'h2F84000010840208;
64'hFFFFFFFFFFFFF078:   romout <= 32'hAD504002;
64'hFFFFFFFFFFFFE8F0:   romout <= 64'h000004C7FFFFFA60;
64'hFFFFFFFFFFFFF07C:   romout <= 32'h3D5552AA;
64'hFFFFFFFFFFFFE8F8:   romout <= 64'h2F801FFFFAA0A210;
64'hFFFFFFFFFFFFF080:   romout <= 32'h02AA5555;
64'hFFFFFFFFFFFFE900:   romout <= 64'h0000627740000003;
64'hFFFFFFFFFFFFF084:   romout <= 32'h00000048;
64'hFFFFFFFFFFFFE908:   romout <= 64'h3780000000037EF8;
64'hFFFFFFFFFFFFF088:   romout <= 32'h00066808;
64'hFFFFFFFFFFFFE910:   romout <= 64'h6F57206F6C6C6548;
64'hFFFFFFFFFFFFF08C:   romout <= 32'h11A04000;
64'hFFFFFFFFFFFFE918:   romout <= 64'h0000000021646C72;
64'hFFFFFFFFFFFFF090:   romout <= 32'h44300006;
64'hFFFFFFFFFFFFE920:   romout <= 64'h3436726F74706152;
64'hFFFFFFFFFFFFF094:   romout <= 32'h00002010;
64'hFFFFFFFFFFFFE928:   romout <= 64'h206D657473797320;
64'hFFFFFFFFFFFFF098:   romout <= 32'h00820308;
64'hFFFFFFFFFFFFE930:   romout <= 64'h676E697472617473;
64'hFFFFFFFFFFFFF09C:   romout <= 32'h02210000;
64'hFFFFFFFFFFFFE938:   romout <= 64'h00000A0D2E2E2E2E;
64'hFFFFFFFFFFFFF0A0:   romout <= 32'h00000000;
64'hFFFFFFFFFFFFE940:   romout <= 64'h703FC8A1FFFF8007;
64'hFFFFFFFFFFFFF0A4:   romout <= 32'h00000380;
64'hFFFFFFFFFFFFE948:   romout <= 64'h0DFBE0000009200F;
64'hFFFFFFFFFFFFF0A8:   romout <= 32'hFF90C81C;
64'hFFFFFFFFFFFFE950:   romout <= 64'h0000003FBC000008;
64'hFFFFFFFFFFFFF0AC:   romout <= 32'h080C5FFF;
64'hFFFFFFFFFFFFE958:   romout <= 64'h0A1FFDC0A0067E18;
64'hFFFFFFFFFFFFF0B0:   romout <= 32'h00A00009;
64'hFFFFFFFFFFFFE960:   romout <= 64'h0000060046000001;
64'hFFFFFFFFFFFFF0B4:   romout <= 32'h00002412;
64'hFFFFFFFFFFFFE968:   romout <= 64'h2F8C000000814318;
64'hFFFFFFFFFFFFF0B8:   romout <= 32'h00004002;
64'hFFFFFFFFFFFFE970:   romout <= 64'h0000011F86000000;
64'hFFFFFFFFFFFFF0BC:   romout <= 32'h11A04000;
64'hFFFFFFFFFFFFE978:   romout <= 64'h0DFBE00000880108;
64'hFFFFFFFFFFFFF0C0:   romout <= 32'h552AAAD5;
64'hFFFFFFFFFFFFE980:   romout <= 64'h0000203FBC000010;
64'hFFFFFFFFFFFFF0C4:   romout <= 32'hA95557D5;
64'hFFFFFFFFFFFFE988:   romout <= 64'h19F8600000067E10;
64'hFFFFFFFFFFFFF0C8:   romout <= 32'h0090C21A;
64'hFFFFFFFFFFFFE990:   romout <= 64'h000004A3FFDC0A00;
64'hFFFFFFFFFFFFF0CC:   romout <= 32'h080C2000;
64'hFFFFFFFFFFFFE998:   romout <= 64'h0508400004080310;
64'hFFFFFFFFFFFFF0D0:   romout <= 32'h10000008;
64'hFFFFFFFFFFFFE9A0:   romout <= 64'h000002F881FFFFA8;
64'hFFFFFFFFFFFFF0D4:   romout <= 32'h00000022;
64'hFFFFFFFFFFFFE9A8:   romout <= 64'h11F8600000090308;
64'hFFFFFFFFFFFFF0D8:   romout <= 32'h000E0000;
64'hFFFFFFFFFFFFE9B0:   romout <= 64'h0000411F84000008;
64'hFFFFFFFFFFFFF0DC:   romout <= 32'h03207000;
64'hFFFFFFFFFFFFE9B8:   romout <= 64'h1800000045037EF8;
64'hFFFFFFFFFFFFF0E0:   romout <= 32'hC5FFFFF6;
64'hFFFFFFFFFFFFE9C0:   romout <= 64'h0000058000000451;
64'hFFFFFFFFFFFFF0E4:   romout <= 32'h00007880;
64'hFFFFFFFFFFFFE9C8:   romout <= 64'h1800200041A28400;
64'hFFFFFFFFFFFFF0E8:   romout <= 32'h009A2850;
64'hFFFFFFFFFFFFE9D0:   romout <= 64'h000060DFBE000000;
64'hFFFFFFFFFFFFF0EC:   romout <= 32'h01000800;
64'hFFFFFFFFFFFFE9D8:   romout <= 64'h27F000000070FEF0;
64'hFFFFFFFFFFFFF0F0:   romout <= 32'hAAD5552A;
64'hFFFFFFFFFFFFE9E0:   romout <= 64'h00003D2802000450;
64'hFFFFFFFFFFFFF0F4:   romout <= 32'h56AAABAA;
64'hFFFFFFFFFFFFE9E8:   romout <= 64'h0A30000044014108;
64'hFFFFFFFFFFFFF0F8:   romout <= 32'h0001200D;
64'hFFFFFFFFFFFFE9F0:   romout <= 64'h700000E300000000;
64'hFFFFFFFFFFFFF0FC:   romout <= 32'h19A02000;
64'hFFFFFFFFFFFFE9F8:   romout <= 64'h24801DC000282017;
64'hFFFFFFFFFFFFF100:   romout <= 32'h04000000;
64'hFFFFFFFFFFFFEA00:   romout <= 64'h000005A8C2200010;
64'hFFFFFFFFFFFFF104:   romout <= 32'hC000191A;
64'hFFFFFFFFFFFFEA08:   romout <= 64'h0504200000F0A108;
64'hFFFFFFFFFFFFF108:   romout <= 32'h00904110;
64'hFFFFFFFFFFFFEA10:   romout <= 64'h0011458002000450;
64'hFFFFFFFFFFFFF10C:   romout <= 32'h080C2000;
64'hFFFFFFFFFFFFEA18:   romout <= 64'h2F8440001094A010;
64'hFFFFFFFFFFFFF110:   romout <= 32'h10000008;
64'hFFFFFFFFFFFFEA20:   romout <= 64'h00003C2884000001;
64'hFFFFFFFFFFFFF114:   romout <= 32'h00000022;
64'hFFFFFFFFFFFFEA28:   romout <= 64'h1800400045114210;
64'hFFFFFFFFFFFFF118:   romout <= 32'h000E0000;
64'hFFFFFFFFFFFFEA30:   romout <= 64'h0000627700000007;
64'hFFFFFFFFFFFFF11C:   romout <= 32'h03207000;
64'hFFFFFFFFFFFFEA38:   romout <= 64'h0DFBE0000000BEF0;
64'hFFFFFFFFFFFFF120:   romout <= 32'hC5FFFFF6;
64'hFFFFFFFFFFFFEA40:   romout <= 64'h000001800200041A;
64'hFFFFFFFFFFFFF124:   romout <= 32'hC0002480;
64'hFFFFFFFFFFFFEA48:   romout <= 64'h03FBC00001037EF8;
64'hFFFFFFFFFFFFF128:   romout <= 32'h00904802;
64'hFFFFFFFFFFFFEA50:   romout <= 64'h0011467F00000006;
64'hFFFFFFFFFFFFF12C:   romout <= 32'h01000800;
64'hFFFFFFFFFFFFEA58:   romout <= 64'h128020004504A010;
64'hFFFFFFFFFFFFF130:   romout <= 32'h04000000;
64'hFFFFFFFFFFFFEA60:   romout <= 64'h001102F844000148;
64'hFFFFFFFFFFFFF134:   romout <= 32'h5554A91A;
64'hFFFFFFFFFFFFEA68:   romout <= 64'h1A8C410000528C00;
64'hFFFFFFFFFFFFF138:   romout <= 32'hAAAEAAAB;
64'hFFFFFFFFFFFFEA70:   romout <= 64'h00003C2884000001;
64'hFFFFFFFFFFFFF13C:   romout <= 32'h0308755A;
64'hFFFFFFFFFFFFEA78:   romout <= 64'h1800400045114210;
64'hFFFFFFFFFFFFF140:   romout <= 32'hC2000006;
64'hFFFFFFFFFFFFEA80:   romout <= 64'h0000427700000006;
64'hFFFFFFFFFFFFF144:   romout <= 32'h00002080;
64'hFFFFFFFFFFFFEA88:   romout <= 64'h0A1FFFFFFFF37EF8;
64'hFFFFFFFFFFFFF148:   romout <= 32'h00008840;
64'hFFFFFFFFFFFFEA90:   romout <= 64'h0000427700000006;
64'hFFFFFFFFFFFFF14C:   romout <= 32'h38000000;
64'hFFFFFFFFFFFFEA98:   romout <= 64'h1280200045137EF8;
64'hFFFFFFFFFFFFF150:   romout <= 32'h07000000;
64'hFFFFFFFFFFFFEAA0:   romout <= 64'h0002A12804000450;
64'hFFFFFFFFFFFFF154:   romout <= 32'hFFFFE032;
64'hFFFFFFFFFFFFEAA8:   romout <= 64'h0A100000001BE110;
64'hFFFFFFFFFFFFF158:   romout <= 32'h00520317;
64'hFFFFFFFFFFFFEAB0:   romout <= 64'h400028DFBE000000;
64'hFFFFFFFFFFFFF15C:   romout <= 32'h28216000;
64'hFFFFFFFFFFFFEAB8:   romout <= 64'h0DFBE00000004108;
64'hFFFFFFFFFFFFF160:   romout <= 32'h16800014;
64'hFFFFFFFFFFFFEAC0:   romout <= 64'h0002860803DC0000;
64'hFFFFFFFFFFFFF164:   romout <= 32'h00001012;
64'hFFFFFFFFFFFFEAC8:   romout <= 64'h0A100000001BE100;
64'hFFFFFFFFFFFFF168:   romout <= 32'h014A0850;
64'hFFFFFFFFFFFFEAD0:   romout <= 64'h400028DFBE000000;
64'hFFFFFFFFFFFFF16C:   romout <= 32'h01214800;
64'hFFFFFFFFFFFFEAD8:   romout <= 64'h0DFBE00000004108;
64'hFFFFFFFFFFFFFFC0:   romout <= 32'h00000000;
64'hFFFFFFFFFFFFEAE0:   romout <= 64'h0000083FBC000010;
64'hFFFFFFFFFFFFFFC4:   romout <= 32'h00000378;
64'hFFFFFFFFFFFFEAE8:   romout <= 64'h0A2FFDC00009FD00;
64'hFFFFFFFFFFFFFFC8:   romout <= 32'h000DE000;
64'hFFFFFFFFFFFFEAF0:   romout <= 64'h0000060803DC0000;
64'hFFFFFFFFFFFFFFCC:   romout <= 32'h37800000;
64'hFFFFFFFFFFFFEAF8:   romout <= 64'h24801DC0002BE100;
64'hFFFFFFFFFFFFFFD0:   romout <= 32'h00000000;
64'hFFFFFFFFFFFFEB00:   romout <= 64'h00106850420000FF;
64'hFFFFFFFFFFFFFFD4:   romout <= 32'h00000378;
64'hFFFFFFFFFFFFEB08:   romout <= 64'h2F88000012840010;
64'hFFFFFFFFFFFFFFD8:   romout <= 32'h000DE000;
64'hFFFFFFFFFFFFEB10:   romout <= 64'hFFF026C84010000D;
64'hFFFFFFFFFFFFFFDC:   romout <= 32'h37800000;
64'hFFFFFFFFFFFFEB18:   romout <= 64'h2F8000000AA31FFF;
64'hFFFFFFFFFFFFFFE0:   romout <= 32'h00000000;
64'hFFFFFFFFFFFFEB20:   romout <= 64'h000008C7FFFFFB65;
64'hFFFFFFFFFFFFFFE4:   romout <= 32'h00000378;
64'hFFFFFFFFFFFFEB28:   romout <= 64'h0DFBE0000109DD00;
64'hFFFFFFFFFFFFFFE8:   romout <= 32'h000DE000;
64'hFFFFFFFFFFFFEB30:   romout <= 64'h00000C3FBC000018;
64'hFFFFFFFFFFFFFFEC:   romout <= 32'h37800000;
64'hFFFFFFFFFFFFEB38:   romout <= 64'h118020004009FD00;
64'hFFFFFFFFFFFFFFF0:   romout <= 32'hFFFFFC00;
64'hFFFFFFFFFFFFEB40:   romout <= 64'h0010002842000001;
64'hFFFFFFFFFFFFFFF4:   romout <= 32'h000000CF;
64'hFFFFFFFFFFFFEB48:   romout <= 64'h0A2FFD0000066008;
64'hFFFFFFFFFFFFFFF8:   romout <= 32'h00000000;
64'hFFFFFFFFFFFFEB50:   romout <= 64'h00000508820000DE;
64'hFFFFFFFFFFFFFFFC:   romout <= 32'h00000000;
64'hFFFFFFFFFFFFEB58:   romout <= 64'h188820000DE0A108;
 
64'hFFFFFFFFFFFFEB60:   romout <= 64'h0010010801FF0000;
 
64'hFFFFFFFFFFFFEB68:   romout <= 64'h0504200007F46008;
 
64'hFFFFFFFFFFFFEB70:   romout <= 64'hFFEB86C840080040;
 
64'hFFFFFFFFFFFFEB78:   romout <= 64'h2774000000331FFF;
 
64'hFFFFFFFFFFFFEB80:   romout <= 64'h000080DFBE000018;
 
64'hFFFFFFFFFFFFEB88:   romout <= 64'h27F400000070FEF0;
 
64'hFFFFFFFFFFFFEB90:   romout <= 64'h040000C7FFFFFB55;
 
64'hFFFFFFFFFFFFEB98:   romout <= 64'h108440000000A108;
 
64'hFFFFFFFFFFFFEBA0:   romout <= 64'h0000002884000001;
 
64'hFFFFFFFFFFFFEBA8:   romout <= 64'h1180400040862110;
 
64'hFFFFFFFFFFFFEBB0:   romout <= 64'h001052F8440000A8;
 
64'hFFFFFFFFFFFFEBB8:   romout <= 64'h1888600000042018;
 
64'hFFFFFFFFFFFFEBC0:   romout <= 64'h00001D9802000408;
 
64'hFFFFFFFFFFFFEBC8:   romout <= 64'h0DFBE0000209DD00;
 
64'hFFFFFFFFFFFFEBD0:   romout <= 64'h00003C3FBC000028;
 
64'hFFFFFFFFFFFFEBD8:   romout <= 64'h0A1000005549FD00;
 
64'hFFFFFFFFFFFFEBE0:   romout <= 64'h800060A200000300;
 
64'hFFFFFFFFFFFFEBE8:   romout <= 64'h0100440000904110;
 
64'hFFFFFFFFFFFFEBF0:   romout <= 64'h800000A129292929;
 
64'hFFFFFFFFFFFFEBF8:   romout <= 64'h0E30000000128C00;
 
64'hFFFFFFFFFFFFEC00:   romout <= 64'h00001190C2000000;
 
64'hFFFFFFFFFFFFEC08:   romout <= 64'h2F80400000F0A318;
 
64'hFFFFFFFFFFFFEC10:   romout <= 64'h0000A2774000000F;
 
64'hFFFFFFFFFFFFEC18:   romout <= 64'h03FBC00002837EF8;
 
64'hFFFFFFFFFFFFEC20:   romout <= 64'h6800027F4000000F;
 
64'hFFFFFFFFFFFFEC28:   romout <= 64'h108C200000028FFF;
 
64'hFFFFFFFFFFFFEC30:   romout <= 64'h80006108C4000002;
 
64'hFFFFFFFFFFFFEC38:   romout <= 64'h0A10000002004110;
 
64'hFFFFFFFFFFFFEC40:   romout <= 64'hFFED150808000414;
 
64'hFFFFFFFFFFFFEC48:   romout <= 64'h0A3FFD0000031FFF;
 
64'hFFFFFFFFFFFFEC50:   romout <= 64'h04000188C2000000;
 
64'hFFFFFFFFFFFFEC58:   romout <= 64'h028C600000262320;
 
64'hFFFFFFFFFFFFEC60:   romout <= 64'h00003EF805FFFF8F;
 
64'hFFFFFFFFFFFFEC68:   romout <= 64'h0DFBE0000289DD00;
 
64'hFFFFFFFFFFFFEC70:   romout <= 64'h00003C3FBC000028;
 
64'hFFFFFFFFFFFFEC78:   romout <= 64'h0A3FFDA00009FD00;
 
64'hFFFFFFFFFFFFEC80:   romout <= 64'h00000908C2000000;
 
64'hFFFFFFFFFFFFEC88:   romout <= 64'h0104420001842310;
 
64'hFFFFFFFFFFFFEC90:   romout <= 64'h4000001082200005;
 
64'hFFFFFFFFFFFFEC98:   romout <= 64'h1A8C240000128FFF;
 
64'hFFFFFFFFFFFFECA0:   romout <= 64'h00000988C8000000;
 
64'hFFFFFFFFFFFFECA8:   romout <= 64'h2F805FFFFCF0A318;
 
64'hFFFFFFFFFFFFECB0:   romout <= 64'h000008A3FFDA0000;
 
64'hFFFFFFFFFFFFECB8:   romout <= 64'h0384200000142308;
 
64'hFFFFFFFFFFFFECC0:   romout <= 64'h00003CC7FFFFFB34;
 
64'hFFFFFFFFFFFFECC8:   romout <= 64'h0DFBE0000289DD00;
 
64'hFFFFFFFFFFFFECD0:   romout <= 64'h00001C3FBC000018;
 
64'hFFFFFFFFFFFFECD8:   romout <= 64'h0A3FFDA00009FC00;
 
64'hFFFFFFFFFFFFECE0:   romout <= 64'hC0006108C4000000;
 
64'hFFFFFFFFFFFFECE8:   romout <= 64'h018C608000004208;
 
64'hFFFFFFFFFFFFECF0:   romout <= 64'h00008028C7D00000;
 
64'hFFFFFFFFFFFFECF8:   romout <= 64'h188C200000028400;
 
64'hFFFFFFFFFFFFED00:   romout <= 64'hFFFF3C28C6000002;
 
64'hFFFFFFFFFFFFED08:   romout <= 64'h27700000007BE017;
 
64'hFFFFFFFFFFFFED10:   romout <= 64'h0003FCDFBE000018;
 
64'hFFFFFFFFFFFFED18:   romout <= 64'h2A04024004114108;
 
64'hFFFFFFFFFFFFED20:   romout <= 64'h5001EAB04014005A;
 
64'hFFFFFFFFFFFFED28:   romout <= 64'h2A040140061AE100;
 
64'hFFFFFFFFFFFFED30:   romout <= 64'h0004003042000060;
 
64'hFFFFFFFFFFFFED38:   romout <= 64'h0DFBE00000016108;
 
64'hFFFFFFFFFFFFED40:   romout <= 64'h40006850420000FF;
 
64'hFFFFFFFFFFFFED48:   romout <= 64'h0284200003CAE100;
 
64'hFFFFFFFFFFFFED50:   romout <= 64'h001058DFBE000000;
 
64'hFFFFFFFFFFFFED58:   romout <= 64'h0504200007F42008;
 
64'hFFFFFFFFFFFFED60:   romout <= 64'h000000A3FFDA0000;
 
64'hFFFFFFFFFFFFED68:   romout <= 64'h0108220001882310;
 
64'hFFFFFFFFFFFFED70:   romout <= 64'h0001FD0802000418;
 
64'hFFFFFFFFFFFFED78:   romout <= 64'h0108220000314108;
 
64'hFFFFFFFFFFFFED80:   romout <= 64'h20000248C4000016;
 
64'hFFFFFFFFFFFFED88:   romout <= 64'h02883D0000006210;
 
64'hFFFFFFFFFFFFED90:   romout <= 64'h800034DFBE000000;
 
64'hFFFFFFFFFFFFED98:   romout <= 64'h03FBC000020B2100;
 
64'hFFFFFFFFFFFFEDA0:   romout <= 64'h0010627F40000007;
 
64'hFFFFFFFFFFFFEDA8:   romout <= 64'h2F8000001AA62000;
 
64'hFFFFFFFFFFFFEDB0:   romout <= 64'h000082C840340091;
 
64'hFFFFFFFFFFFFEDB8:   romout <= 64'h27F400000070FEF0;
 
64'hFFFFFFFFFFFFEDC0:   romout <= 64'h5000E10804000418;
 
64'hFFFFFFFFFFFFEDC8:   romout <= 64'h02884000001B0200;
 
64'hFFFFFFFFFFFFEDD0:   romout <= 64'hFFED558804000418;
 
64'hFFFFFFFFFFFFEDD8:   romout <= 64'h2774000000731FFF;
 
64'hFFFFFFFFFFFFEDE0:   romout <= 64'hC00240DFBE000020;
 
64'hFFFFFFFFFFFFEDE8:   romout <= 64'h03FBC000020B2100;
 
64'hFFFFFFFFFFFFEDF0:   romout <= 64'h00105A7F40000007;
 
64'hFFFFFFFFFFFFEDF8:   romout <= 64'h2C0BFE4000042010;
 
64'hFFFFFFFFFFFFEE00:   romout <= 64'h0010583884000001;
 
64'hFFFFFFFFFFFFEE08:   romout <= 64'h2F801FFFEAA62010;
 
64'hFFFFFFFFFFFFEE10:   romout <= 64'h000082C840280093;
 
64'hFFFFFFFFFFFFEE18:   romout <= 64'h27F400000070FEF0;
 
64'hFFFFFFFFFFFFEE20:   romout <= 64'hD000010804000418;
 
64'hFFFFFFFFFFFFEE28:   romout <= 64'h03884000001B02FE;
 
64'hFFFFFFFFFFFFEE30:   romout <= 64'hFFF4A98804000418;
 
64'hFFFFFFFFFFFFEE38:   romout <= 64'h2C840340092BE007;
 
64'hFFFFFFFFFFFFEE40:   romout <= 64'h00001C3FBC000020;
 
64'hFFFFFFFFFFFFEE48:   romout <= 64'h108040004169FD00;
 
64'hFFFFFFFFFFFFEE50:   romout <= 64'h000006C0BF84001E;
 
64'hFFFFFFFFFFFFEE58:   romout <= 64'h188040004160A210;
 
64'hFFFFFFFFFFFFEE60:   romout <= 64'hD00252F801FFFBAA;
 
64'hFFFFFFFFFFFFEE68:   romout <= 64'h03FBC000020B2100;
 
64'hFFFFFFFFFFFFEE70:   romout <= 64'h0010627F40000007;
 
64'hFFFFFFFFFFFFEE78:   romout <= 64'h2F8800000C842010;
 
64'hFFFFFFFFFFFFEE80:   romout <= 64'hFFEAA98800000418;
 
64'hFFFFFFFFFFFFEE88:   romout <= 64'h18800000416BE007;
 
64'hFFFFFFFFFFFFEE90:   romout <= 64'h0000C2F801FFFA2A;
 
64'hFFFFFFFFFFFFEE98:   romout <= 64'h27F4000001F0FEF0;
 
64'hFFFFFFFFFFFFEEA0:   romout <= 64'hFFED56C840180099;
 
64'hFFFFFFFFFFFFEEA8:   romout <= 64'h0100230000931FFF;
 
64'hFFFFFFFFFFFFEEB0:   romout <= 64'h0006A90802000418;
 
64'hFFFFFFFFFFFFEEB8:   romout <= 64'h2C840680008BE000;
 
64'hFFFFFFFFFFFFEEC0:   romout <= 64'h0012A10804000418;
 
64'hFFFFFFFFFFFFEEC8:   romout <= 64'h03884000001BE200;
 
64'hFFFFFFFFFFFFEED0:   romout <= 64'hFFED558804000418;
 
64'hFFFFFFFFFFFFEED8:   romout <= 64'h0100230000931FFF;
 
64'hFFFFFFFFFFFFEEE0:   romout <= 64'h0000090802000418;
 
64'hFFFFFFFFFFFFEEE8:   romout <= 64'h188C400000042310;
 
64'hFFFFFFFFFFFFEEF0:   romout <= 64'h00000428C6000002;
 
64'hFFFFFFFFFFFFEEF8:   romout <= 64'h0A4FFDA00000A108;
 
64'hFFFFFFFFFFFFEF00:   romout <= 64'hFFFC92090A000000;
 
64'hFFFFFFFFFFFFEF08:   romout <= 64'h0A200000020BE12F;
 
64'hFFFFFFFFFFFFEF10:   romout <= 64'h0008A988C5FFFFFE;
 
64'hFFFFFFFFFFFFEF18:   romout <= 64'h2C04040000ABE000;
 
64'hFFFFFFFFFFFFEF20:   romout <= 64'hFFED541002400009;
 
64'hFFFFFFFFFFFFEF28:   romout <= 64'h0100230000931FFF;
 
64'hFFFFFFFFFFFFEF30:   romout <= 64'hFFED141008100009;
 
64'hFFFFFFFFFFFFEF38:   romout <= 64'h188C200000031FFF;
 
64'hFFFFFFFFFFFFEF40:   romout <= 64'h00007CC7FFFFFBD8;
 
64'hFFFFFFFFFFFFEF48:   romout <= 64'h0DFBE0000309DD00;
 
64'hFFFFFFFFFFFFEF50:   romout <= 64'h00007CC7FFFFFBE4;
 
64'hFFFFFFFFFFFFEF58:   romout <= 64'h0DFBE0000309DD00;
 
64'hFFFFFFFFFFFFEF60:   romout <= 64'h00001C3FBC000020;
 
64'hFFFFFFFFFFFFEF68:   romout <= 64'h108020004189FD00;
 
64'hFFFFFFFFFFFFEF70:   romout <= 64'h0010602842000001;
 
64'hFFFFFFFFFFFFEF78:   romout <= 64'h20805DA000062008;
 
64'hFFFFFFFFFFFFEF80:   romout <= 64'h001062F844000226;
 
64'hFFFFFFFFFFFFEF88:   romout <= 64'h2F8000000CA62000;
 
64'hFFFFFFFFFFFFEF90:   romout <= 64'h00001C3FBC000020;
 
64'hFFFFFFFFFFFFEF98:   romout <= 64'h108020004169FD00;
 
64'hFFFFFFFFFFFFEFA0:   romout <= 64'h0010582842000001;
 
64'hFFFFFFFFFFFFEFA8:   romout <= 64'h20805DA000262008;
 
64'hFFFFFFFFFFFFEFB0:   romout <= 64'h000006F8440000A6;
 
64'hFFFFFFFFFFFFEFB8:   romout <= 64'h188040004160E210;
 
64'hFFFFFFFFFFFFEFC0:   romout <= 64'hFFED54C7FFFFFB1C;
 
64'hFFFFFFFFFFFFEFC8:   romout <= 64'h2774000000731FFF;
 
64'hFFFFFFFFFFFFEFD0:   romout <= 64'h000060DFBE000020;
 
64'hFFFFFFFFFFFFEFD8:   romout <= 64'h27F400000030DEF0;
 
64'hFFFFFFFFFFFFEFE0:   romout <= 64'h0000001040200009;
 
64'hFFFFFFFFFFFFEFE8:   romout <= 64'h028840000014A208;
 
64'hFFFFFFFFFFFFEFF0:   romout <= 64'hFFED96F840000088;
 
64'hFFFFFFFFFFFFEFF8:   romout <= 64'h2F801FFFFAA31FFF;
 
64'hFFFFFFFFFFFFF000:   romout <= 64'h0000627740000003;
 
64'hFFFFFFFFFFFFF008:   romout <= 64'h03FBC00000837EF8;
 
64'hFFFFFFFFFFFFF010:   romout <= 64'hFFEFD59FBE000000;
 
64'hFFFFFFFFFFFFF018:   romout <= 64'h11FBE00000031FFF;
 
64'hFFFFFFFFFFFFF020:   romout <= 64'h0000402FBC000008;
 
64'hFFFFFFFFFFFFF028:   romout <= 64'h19F820000000FEF0;
 
64'hFFFFFFFFFFFFF030:   romout <= 64'h0000359FBE000008;
 
64'hFFFFFFFFFFFFF038:   romout <= 64'h0C7FFFFFB6528400;
 
64'hFFFFFFFFFFFFF040:   romout <= 64'hFFED94A10000000A;
 
64'hFFFFFFFFFFFFF048:   romout <= 64'h11F8200000031FFF;
 
64'hFFFFFFFFFFFFF050:   romout <= 64'h0000411FBE000008;
 
64'hFFFFFFFFFFFFF058:   romout <= 64'h03FBC00001037EF8;
 
64'hFFFFFFFFFFFFF060:   romout <= 64'h0000019FBE000008;
 
64'hFFFFFFFFFFFFF068:   romout <= 64'h0504200000F67E08;
 
64'hFFFFFFFFFFFFF070:   romout <= 64'h4000E42842000030;
 
64'hFFFFFFFFFFFFF078:   romout <= 64'h02842000007AC100;
 
64'hFFFFFFFFFFFFF080:   romout <= 64'h000000C7FFFFFB65;
 
64'hFFFFFFFFFFFFF088:   romout <= 64'h11FBE00000847E08;
 
64'hFFFFFFFFFFFFF090:   romout <= 64'h000040DFBE000010;
 
64'hFFFFFFFFFFFFF098:   romout <= 64'h27F400000010FEF0;
 
64'hFFFFFFFFFFFFF0A0:   romout <= 64'hFFF0581842200004;
 
64'hFFFFFFFFFFFFF0A8:   romout <= 64'h0184220000231FFF;
 
64'hFFFFFFFFFFFFF0B0:   romout <= 64'h000004C7FFFFFC16;
 
64'hFFFFFFFFFFFFF0B8:   romout <= 64'h0DFBE0000109DD00;
 
64'hFFFFFFFFFFFFF0C0:   romout <= 64'h0000143FBC000018;
 
64'hFFFFFFFFFFFFF0C8:   romout <= 64'h0A3000000079FD00;
 
64'hFFFFFFFFFFFFF0D0:   romout <= 64'hFFF0941842400002;
 
64'hFFFFFFFFFFFFF0D8:   romout <= 64'h2F80600000F31FFF;
 
64'hFFFFFFFFFFFFF0E0:   romout <= 64'h0000627740000005;
 
64'hFFFFFFFFFFFFF0E8:   romout <= 64'h03FBC00000837EF8;
 
64'hFFFFFFFFFFFFF0F0:   romout <= 64'h0000E99FBE000000;
 
64'hFFFFFFFFFFFFF0F8:   romout <= 64'h0C7FFFFFB6528400;
 
64'hFFFFFFFFFFFFF100:   romout <= 64'hFFF0C01080100009;
 
64'hFFFFFFFFFFFFF108:   romout <= 64'h0A30000000731FFF;
 
64'hFFFFFFFFFFFFF110:   romout <= 64'hFFED94A100000020;
 
64'hFFFFFFFFFFFFF118:   romout <= 64'h1008200000031FFF;
 
64'hFFFFFFFFFFFFF120:   romout <= 64'h000004C7FFFFFC25;
 
64'hFFFFFFFFFFFFF128:   romout <= 64'h2F807FFFF8F0A210;
 
64'hFFFFFFFFFFFFF130:   romout <= 64'h000000C7FFFFFC09;
 
64'hFFFFFFFFFFFFF138:   romout <= 64'h0DFBE00000847EF8;
 
64'hFFFFFFFFFFFFF140:   romout <= 64'h0003F03FBC000030;
 
64'hFFFFFFFFFFFFF148:   romout <= 64'h0A20000000A9FC00;
 
64'hFFFFFFFFFFFFF150:   romout <= 64'hC00070A800000013;
 
64'hFFFFFFFFFFFFF158:   romout <= 64'h018C7E0000004110;
 
64'hFFFFFFFFFFFFF160:   romout <= 64'h800004194FE00000;
 
64'hFFFFFFFFFFFFF168:   romout <= 64'h0110E40000906420;
 
64'hFFFFFFFFFFFFF170:   romout <= 64'h400024194A200001;
 
64'hFFFFFFFFFFFFF178:   romout <= 64'h0704200000004519;
 
64'hFFFFFFFFFFFFF180:   romout <= 64'h000006F811FFFEAF;
 
64'hFFFFFFFFFFFFF188:   romout <= 64'h0194C80000006426;
 
64'hFFFFFFFFFFFFF190:   romout <= 64'h000004110C400009;
 
64'hFFFFFFFFFFFFF198:   romout <= 64'h010081000090652E;
 
64'hFFFFFFFFFFFFF1A0:   romout <= 64'h0003F0100A200009;
 
64'hFFFFFFFFFFFFF1A8:   romout <= 64'h0DFBE0000309DC00;
 
64'hFFFFFFFFFFFFF1B0:   romout <= 64'h0002703FBC000020;
 
64'hFFFFFFFFFFFFF1B8:   romout <= 64'h0A80000000F9FC00;
 
64'hFFFFFFFFFFFFF1C0:   romout <= 64'h0000C0504400000F;
 
64'hFFFFFFFFFFFFF1C8:   romout <= 64'h01885C0000016210;
 
64'hFFFFFFFFFFFFF1D0:   romout <= 64'h0000001908400001;
 
64'hFFFFFFFFFFFFF1D8:   romout <= 64'h0110A4000090632F;
 
64'hFFFFFFFFFFFFF1E0:   romout <= 64'hC0002418C6400001;
 
64'hFFFFFFFFFFFFF1E8:   romout <= 64'h0184220000104310;
 
64'hFFFFFFFFFFFFF1F0:   romout <= 64'h400026F811FFFE8F;
 
64'hFFFFFFFFFFFFF1F8:   romout <= 64'h0100620000904020;
 
64'hFFFFFFFFFFFFF200:   romout <= 64'h000082770000009C;
 
64'hFFFFFFFFFFFFF208:   romout <= 64'h03FBC00003837EF8;
 
64'hFFFFFFFFFFFFF210:   romout <= 64'hC000267F400007C4;
 
64'hFFFFFFFFFFFFF218:   romout <= 64'h0C7FFFFFC5004012;
 
64'hFFFFFFFFFFFFF220:   romout <= 64'hFFF1B01004A00009;
 
64'hFFFFFFFFFFFFF228:   romout <= 64'h0A90000000131FFF;
 
64'hFFFFFFFFFFFFF230:   romout <= 64'h600000A800000007;
 
64'hFFFFFFFFFFFFF238:   romout <= 64'h029CE00000006938;
 
64'hFFFFFFFFFFFFF240:   romout <= 64'h0003FC29CE000004;
 
64'hFFFFFFFFFFFFF248:   romout <= 64'h1A9D630001014118;
 
64'hFFFFFFFFFFFFF250:   romout <= 64'hFFFCBC1842400001;
 
64'hFFFFFFFFFFFFF258:   romout <= 64'h01004100009BE047;
 
64'hFFFFFFFFFFFFF260:   romout <= 64'h400026F813FFFE8F;
 
64'hFFFFFFFFFFFFF268:   romout <= 64'h0C7FFFFFC6C04050;
 
64'hFFFFFFFFFFFFF270:   romout <= 64'h0003FCA800000003;
 
64'hFFFFFFFFFFFFF278:   romout <= 64'h1AA1630001014118;
 
64'hFFFFFFFFFFFFF280:   romout <= 64'hFFFEBC1842400001;
 
64'hFFFFFFFFFFFFF288:   romout <= 64'h182C0000014BE047;
 
64'hFFFFFFFFFFFFF290:   romout <= 64'h0000E277400007C4;
 
64'hFFFFFFFFFFFFF298:   romout <= 64'h0BEFFFEFFF837EF8;
 
64'hFFFFFFFFFFFFF2A0:   romout <= 64'hFFF025800000041A;
 
64'hFFFFFFFFFFFFF2A8:   romout <= 64'h0A10000002431FFF;
 
64'hFFFFFFFFFFFFF2B0:   romout <= 64'hFFEA48C7FFFFFB65;
 
64'hFFFFFFFFFFFFF2B8:   romout <= 64'h2C04007FFFF31FFF;
 
64'hFFFFFFFFFFFFF2C0:   romout <= 64'hFFED96C04010000D;
 
64'hFFFFFFFFFFFFF2C8:   romout <= 64'h2F801FFFFAA31FFF;
 
64'hFFFFFFFFFFFFF2D0:   romout <= 64'hFFED558800000418;
 
64'hFFFFFFFFFFFFF2D8:   romout <= 64'h0104030000931FFF;
 
64'hFFFFFFFFFFFFF2E0:   romout <= 64'h00000908C2000000;
 
64'hFFFFFFFFFFFFF2E8:   romout <= 64'h0C7FFFFFB500A318;
 
64'hFFFFFFFFFFFFF2F0:   romout <= 64'h000002C840140024;
 
64'hFFFFFFFFFFFFF2F8:   romout <= 64'h028C600000242308;
 
64'hFFFFFFFFFFFFF300:   romout <= 64'h4000E8C7FFFFFB50;
 
64'hFFFFFFFFFFFFF308:   romout <= 64'h2C041A00044B0105;
 
64'hFFFFFFFFFFFFF310:   romout <= 64'hD0012AC075140042;
 
64'hFFFFFFFFFFFFF318:   romout <= 64'h2C04280004CB0105;
 
64'hFFFFFFFFFFFFF320:   romout <= 64'h40010EC04054003F;
 
64'hFFFFFFFFFFFFF328:   romout <= 64'h2F801FFFBCAB0100;
 
64'hFFFFFFFFFFFFF330:   romout <= 64'h00000908C2000000;
 
64'hFFFFFFFFFFFFF338:   romout <= 64'h0C7FFFFFB500A318;
 
64'hFFFFFFFFFFFFF340:   romout <= 64'h000002C87F58004C;
 
64'hFFFFFFFFFFFFF348:   romout <= 64'h028C600000242308;
 
64'hFFFFFFFFFFFFF350:   romout <= 64'h20014CC7FFFFFB50;
 
64'hFFFFFFFFFFFFF358:   romout <= 64'h0C7FFFFFB06B21FD;
 
64'hFFFFFFFFFFFFF360:   romout <= 64'h0010598000000418;
 
64'hFFFFFFFFFFFFF368:   romout <= 64'h0C7FFFFFB5560000;
 
64'hFFFFFFFFFFFFF370:   romout <= 64'hFFCE42F801FFF94A;
 
64'hFFFFFFFFFFFFF378:   romout <= 64'h0C7FFFFFBF5287FF;
 
64'hFFFFFFFFFFFFF380:   romout <= 64'h000002F801FFF8CA;
 
64'hFFFFFFFFFFFFF388:   romout <= 64'h37800000000DE000;
 
64'hFFFFFFFFFFFFF390:   romout <= 64'h70736944203D203F;
 
64'hFFFFFFFFFFFFF398:   romout <= 64'h706C65682079616C;
 
64'hFFFFFFFFFFFFF3A0:   romout <= 64'h203D20534C430A0D;
 
64'hFFFFFFFFFFFFF3A8:   romout <= 64'h6373207261656C63;
 
64'hFFFFFFFFFFFFF3B0:   romout <= 64'h203A0A0D6E656572;
 
64'hFFFFFFFFFFFFF3B8:   romout <= 64'h6D2074696445203D;
 
64'hFFFFFFFFFFFFF3C0:   romout <= 64'h79622079726F6D65;
 
64'hFFFFFFFFFFFFF3C8:   romout <= 64'h3D204C0A0D736574;
 
64'hFFFFFFFFFFFFF3D0:   romout <= 64'h31532064616F4C20;
 
64'hFFFFFFFFFFFFF3D8:   romout <= 64'h0A0D656C69662039;
 
64'hFFFFFFFFFFFFF3E0:   romout <= 64'h706D7544203D2044;
 
64'hFFFFFFFFFFFFF3E8:   romout <= 64'h0D79726F6D656D20;
 
64'hFFFFFFFFFFFFF3F0:   romout <= 64'h617473203D20420A;
 
64'hFFFFFFFFFFFFF3F8:   romout <= 64'h20796E6974207472;
 
64'hFFFFFFFFFFFFF400:   romout <= 64'h4A0A0D6369736162;
 
64'hFFFFFFFFFFFFF408:   romout <= 64'h20706D754A203D20;
 
64'hFFFFFFFFFFFFF410:   romout <= 64'h0D65646F63206F74;
 
64'hFFFFFFFFFFFFF418:   romout <= 64'h000000000000000A;
 
64'hFFFFFFFFFFFFF420:   romout <= 64'h0000003FBC000008;
 
64'hFFFFFFFFFFFFF428:   romout <= 64'h108C200000067EF8;
 
64'hFFFFFFFFFFFFF430:   romout <= 64'hFFED4028C6000002;
 
64'hFFFFFFFFFFFFF438:   romout <= 64'h2C07FF8002031FFF;
 
64'hFFFFFFFFFFFFF440:   romout <= 64'h00000038C6000002;
 
64'hFFFFFFFFFFFFF448:   romout <= 64'h0DFBE00000847EF8;
 
64'hFFFFFFFFFFFFF450:   romout <= 64'hFFF4E4C7FFFFFD08;
 
64'hFFFFFFFFFFFFF458:   romout <= 64'h0104050000931FFF;
 
64'hFFFFFFFFFFFFF460:   romout <= 64'hFFF420A400000007;
 
64'hFFFFFFFFFFFFF468:   romout <= 64'h0C7FFFFFD3931FFF;
 
64'hFFFFFFFFFFFFF470:   romout <= 64'h0000058142000000;
 
64'hFFFFFFFFFFFFF478:   romout <= 64'h2F809FFFFAF0A528;
 
64'hFFFFFFFFFFFFF480:   romout <= 64'hFFF422F801FFF0CA;
 
64'hFFFFFFFFFFFFF488:   romout <= 64'h0C7FFFFFD3931FFF;
 
64'hFFFFFFFFFFFFF490:   romout <= 64'h0000001040300009;
 
64'hFFFFFFFFFFFFF498:   romout <= 64'h2F801FFF04A343F8;
 
64'hFFFFFFFFFFFFF4A0:   romout <= 64'hFFF4E4C7FFFFFD08;
 
64'hFFFFFFFFFFFFF4A8:   romout <= 64'h0104020000931FFF;
 
64'hFFFFFFFFFFFFF4B0:   romout <= 64'hFFF0E8C7FFFFFC09;
 
64'hFFFFFFFFFFFFF4B8:   romout <= 64'h0C7FFFFFC3A31FFF;
 
64'hFFFFFFFFFFFFF4C0:   romout <= 64'hFFF0E8C7FFFFFC3A;
 
64'hFFFFFFFFFFFFF4C8:   romout <= 64'h0C7FFFFFC3A31FFF;
 
64'hFFFFFFFFFFFFF4D0:   romout <= 64'hFFF0E8C7FFFFFC3A;
 
64'hFFFFFFFFFFFFF4D8:   romout <= 64'h0C7FFFFFC3A31FFF;
 
64'hFFFFFFFFFFFFF4E0:   romout <= 64'h000062F801FFEDCA;
 
64'hFFFFFFFFFFFFF4E8:   romout <= 64'h27F4000000A0FEF0;
 
64'hFFFFFFFFFFFFF4F0:   romout <= 64'h00003CA200000000;
 
64'hFFFFFFFFFFFFF4F8:   romout <= 64'h108C200000029000;
 
64'hFFFFFFFFFFFFF500:   romout <= 64'hFFED4028C6000002;
 
64'hFFFFFFFFFFFFF508:   romout <= 64'h0C7FFFFFD4E31FFF;
 
64'hFFFFFFFFFFFFF510:   romout <= 64'h800002C0401BFFFF;
 
64'hFFFFFFFFFFFFF518:   romout <= 64'h0504200000F06210;
 
64'hFFFFFFFFFFFFF520:   romout <= 64'hFFFB3C1082200009;
 
64'hFFFFFFFFFFFFF528:   romout <= 64'h01080100009BE027;
 
64'hFFFFFFFFFFFFF530:   romout <= 64'h000062774000000A;
 
64'hFFFFFFFFFFFFF538:   romout <= 64'h2A04054003037EF8;
 
64'hFFFFFFFFFFFFF540:   romout <= 64'h0000C2B840100039;
 
64'hFFFFFFFFFFFFF548:   romout <= 64'h0DFBE0000000E108;
 
64'hFFFFFFFFFFFFF550:   romout <= 64'h60011AA040340041;
 
64'hFFFFFFFFFFFFF558:   romout <= 64'h03842000041AE100;
 
64'hFFFFFFFFFFFFF560:   romout <= 64'h000000284200000A;
 
64'hFFFFFFFFFFFFF568:   romout <= 64'h2A04024006137EF8;
 
64'hFFFFFFFFFFFFF570:   romout <= 64'h000186B840140066;
 
64'hFFFFFFFFFFFFF578:   romout <= 64'h0284200000A0E108;
 
64'hFFFFFFFFFFFFF580:   romout <= 64'hFFFFFCDFBE000000;
 
64'hFFFFFFFFFFFFF588:   romout <= 64'h0DFBE000000287FF;
 
64'hFFFFFFFFFFFFF590:   romout <= 64'hFFF7F2F80000008A;
 
64'hFFFFFFFFFFFFF598:   romout <= 64'h2C84004000A31FFF;
 
64'hFFFFFFFFFFFFF5A0:   romout <= 64'hE00068C7FFFFFDFC;
 
64'hFFFFFFFFFFFFF5A8:   romout <= 64'h2C87FF40053B01F3;
 
64'hFFFFFFFFFFFFF5B0:   romout <= 64'h9000C0C7FFFFFDFC;
 
64'hFFFFFFFFFFFFF5B8:   romout <= 64'h2987FE40039A01FF;
 
64'hFFFFFFFFFFFFF5C0:   romout <= 64'hFFF7F01040400009;
 
64'hFFFFFFFFFFFFF5C8:   romout <= 64'h0C7FFFFFD4E31FFF;
 
64'hFFFFFFFFFFFFF5D0:   romout <= 64'hFFF7F01040200009;
 
64'hFFFFFFFFFFFFF5D8:   romout <= 64'h0C7FFFFFD4E31FFF;
 
64'hFFFFFFFFFFFFF5E0:   romout <= 64'h8000241884200000;
 
64'hFFFFFFFFFFFFF5E8:   romout <= 64'h0108230000904208;
 
64'hFFFFFFFFFFFFF5F0:   romout <= 64'h9000C6C13FA40030;
 
64'hFFFFFFFFFFFFF5F8:   romout <= 64'h2C100B00032B0402;
 
64'hFFFFFFFFFFFFF600:   romout <= 64'h5000D6C100A80033;
 
64'hFFFFFFFFFFFFF608:   romout <= 64'h2C100B40037B04FE;
 
64'hFFFFFFFFFFFFF610:   romout <= 64'h1000E6C100B40038;
 
64'hFFFFFFFFFFFFF618:   romout <= 64'h2F801FFFC2AB0403;
 
64'hFFFFFFFFFFFFF620:   romout <= 64'h00000450C60000FF;
 
64'hFFFFFFFFFFFFF628:   romout <= 64'h0C7FFFFFDFC0E318;
 
64'hFFFFFFFFFFFFF630:   romout <= 64'h800000C7FFFFFD4E;
 
64'hFFFFFFFFFFFFF638:   romout <= 64'h0108220000906210;
 
64'hFFFFFFFFFFFFF640:   romout <= 64'hFFF538C7FFFFFDFC;
 
64'hFFFFFFFFFFFFF648:   romout <= 64'h0188420000031FFF;
 
64'hFFFFFFFFFFFFF650:   romout <= 64'h0000001082200009;
 
64'hFFFFFFFFFFFFF658:   romout <= 64'h0294A00000160510;
 
64'hFFFFFFFFFFFFF660:   romout <= 64'hFFF7F2F807FFFE4F;
 
64'hFFFFFFFFFFFFF668:   romout <= 64'h0C7FFFFFD4E31FFF;
 
64'hFFFFFFFFFFFFF670:   romout <= 64'h8000241884200000;
 
64'hFFFFFFFFFFFFF678:   romout <= 64'h0C7FFFFFDFC04208;
 
64'hFFFFFFFFFFFFF680:   romout <= 64'h800000C7FFFFFD4E;
 
64'hFFFFFFFFFFFFF688:   romout <= 64'h0108220000906210;
 
64'hFFFFFFFFFFFFF690:   romout <= 64'hFFF6E6F801FFF82A;
 
64'hFFFFFFFFFFFFF698:   romout <= 64'h2F801FFFC8A31FFF;
 
64'hFFFFFFFFFFFFF6A0:   romout <= 64'hFFF028C7FFFFFDC1;
 
64'hFFFFFFFFFFFFF6A8:   romout <= 64'h0C7FFFFFDC9BE007;
 
64'hFFFFFFFFFFFFF6B0:   romout <= 64'hFFF726F801FFFB8A;
 
64'hFFFFFFFFFFFFF6B8:   romout <= 64'h1980A00000031FFF;
 
64'hFFFFFFFFFFFFF6C0:   romout <= 64'hFFF706F801FFDECA;
 
64'hFFFFFFFFFFFFF6C8:   romout <= 64'h1980A00000031FFF;
 
64'hFFFFFFFFFFFFF6D0:   romout <= 64'hFFF6E6F801FFDE4A;
 
64'hFFFFFFFFFFFFF6D8:   romout <= 64'h1980A00000031FFF;
 
64'hFFFFFFFFFFFFF6E0:   romout <= 64'h000022F801FFDDCA;
 
64'hFFFFFFFFFFFFF6E8:   romout <= 64'h19FBE0000000FEF0;
 
64'hFFFFFFFFFFFFF6F0:   romout <= 64'hFFF538C7FFFFFDFC;
 
64'hFFFFFFFFFFFFF6F8:   romout <= 64'h0104020000931FFF;
 
64'hFFFFFFFFFFFFF700:   romout <= 64'h000022F8000004AA;
 
64'hFFFFFFFFFFFFF708:   romout <= 64'h19FBE0000000FEF0;
 
64'hFFFFFFFFFFFFF710:   romout <= 64'hFFF538C7FFFFFDFC;
 
64'hFFFFFFFFFFFFF718:   romout <= 64'h0104020000931FFF;
 
64'hFFFFFFFFFFFFF720:   romout <= 64'h000022F80000024A;
 
64'hFFFFFFFFFFFFF728:   romout <= 64'h19FBE0000000FEF0;
 
64'hFFFFFFFFFFFFF730:   romout <= 64'hFFF538C7FFFFFDFC;
 
64'hFFFFFFFFFFFFF738:   romout <= 64'h0104020000931FFF;
 
64'hFFFFFFFFFFFFF740:   romout <= 64'hFFF538C7FFFFFDFC;
 
64'hFFFFFFFFFFFFF748:   romout <= 64'h0188420000031FFF;
 
64'hFFFFFFFFFFFFF750:   romout <= 64'hFFF7F01044200009;
 
64'hFFFFFFFFFFFFF758:   romout <= 64'h0C7FFFFFD4E31FFF;
 
64'hFFFFFFFFFFFFF760:   romout <= 64'h8000241884200000;
 
64'hFFFFFFFFFFFFF768:   romout <= 64'h0C7FFFFFDFC04208;
 
64'hFFFFFFFFFFFFF770:   romout <= 64'h800000C7FFFFFD4E;
 
64'hFFFFFFFFFFFFF778:   romout <= 64'h0108220000906210;
 
64'hFFFFFFFFFFFFF780:   romout <= 64'hFFF538C7FFFFFDFC;
 
64'hFFFFFFFFFFFFF788:   romout <= 64'h0188420000031FFF;
 
64'hFFFFFFFFFFFFF790:   romout <= 64'hFFF7F01082200009;
 
64'hFFFFFFFFFFFFF798:   romout <= 64'h0C7FFFFFD4E31FFF;
 
64'hFFFFFFFFFFFFF7A0:   romout <= 64'h8000241884200000;
 
64'hFFFFFFFFFFFFF7A8:   romout <= 64'h0C7FFFFFDFC04208;
 
64'hFFFFFFFFFFFFF7B0:   romout <= 64'h800000C7FFFFFD4E;
 
64'hFFFFFFFFFFFFF7B8:   romout <= 64'h0108220000906210;
 
64'hFFFFFFFFFFFFF7C0:   romout <= 64'hFFF538C7FFFFFDFC;
 
64'hFFFFFFFFFFFFF7C8:   romout <= 64'h0188420000031FFF;
 
64'hFFFFFFFFFFFFF7D0:   romout <= 64'h0000281082200009;
 
64'hFFFFFFFFFFFFF7D8:   romout <= 64'h0108050000904421;
 
64'hFFFFFFFFFFFFF7E0:   romout <= 64'h0000211FBE000000;
 
64'hFFFFFFFFFFFFF7E8:   romout <= 64'h0DFBE0000000BEF0;
 
64'hFFFFFFFFFFFFF7F0:   romout <= 64'h0000003FBC000008;
 
64'hFFFFFFFFFFFFF7F8:   romout <= 64'h0C7FFFFFAA667EF8;
 
64'hFFFFFFFFFFFFF800:   romout <= 64'hFFEA4AF841FF8B08;
 
64'hFFFFFFFFFFFFF808:   romout <= 64'h2C07A98000031FFF;
 
64'hFFFFFFFFFFFFF810:   romout <= 64'hFFFD20C7FFFFFE30;
 
64'hFFFFFFFFFFFFF818:   romout <= 64'h11FBE000000BE107;
 
64'hFFFFFFFFFFFFF820:   romout <= 64'h0000002FBC000008;
 
64'hFFFFFFFFFFFFF828:   romout <= 64'h0580200000037EF8;
 
64'hFFFFFFFFFFFFF830:   romout <= 64'h7040998803DC1026;
 
64'hFFFFFFFFFFFFF838:   romout <= 64'h2C84004000F4200F;
 
64'hFFFFFFFFFFFFF840:   romout <= 64'h7040085802000000;
 
64'hFFFFFFFFFFFFF848:   romout <= 64'h18803DC10046200F;
 
64'hFFFFFFFFFFFFF850:   romout <= 64'h0000BC5802001F40;
 
64'hFFFFFFFFFFFFF858:   romout <= 64'h0580200000FBE008;
 
64'hFFFFFFFFFFFFF860:   romout <= 64'h00D1B98803D50080;
 
64'hFFFFFFFFFFFFF868:   romout <= 64'h18803D5000016008;
 
64'hFFFFFFFFFFFFF870:   romout <= 64'h5400205802000020;
 
64'hFFFFFFFFFFFFF878:   romout <= 64'h058020000406200F;
 
64'hFFFFFFFFFFFFF880:   romout <= 64'h0003018803D5000A;
 
64'hFFFFFFFFFFFFF888:   romout <= 64'h18803D5000C16008;
 
64'hFFFFFFFFFFFFF890:   romout <= 64'h5400385802000FA0;
 
64'hFFFFFFFFFFFFF898:   romout <= 64'h058020011046200F;
 
64'hFFFFFFFFFFFFF8A0:   romout <= 64'h0000018803D50004;
 
64'hFFFFFFFFFFFFF8A8:   romout <= 64'h058037D7840E0000;
 
64'hFFFFFFFFFFFFF8B0:   romout <= 64'h000002F80200000F;
 
64'hFFFFFFFFFFFFF8B8:   romout <= 64'h0DFBE00000016008;
 
64'hFFFFFFFFFFFFF8C0:   romout <= 64'h0000060003DC0A01;
 
64'hFFFFFFFFFFFFF8C8:   romout <= 64'h2F8400000C814108;
 
64'hFFFFFFFFFFFFF8D0:   romout <= 64'h0001FE0003DC0A00;
 
64'hFFFFFFFFFFFFF8D8:   romout <= 64'h0DFBE00000014108;
 
64'hFFFFFFFFFFFFF8E0:   romout <= 64'h000000CFFFFFFCA6;
 
64'hFFFFFFFFFFFFF8E8:   romout <= 64'h37800000000DE000;
 
64'hFFFFFFFFFFFFF8F0:   romout <= 64'h726F747061520A0D;
 
64'hFFFFFFFFFFFFF8F8:   romout <= 64'h20796E6954203436;
 
64'hFFFFFFFFFFFFF900:   romout <= 64'h3176204349534142;
 
64'hFFFFFFFFFFFFF908:   romout <= 64'h202943280A0D302E;
 
64'hFFFFFFFFFFFFF910:   romout <= 64'h6F52202032313032;
 
64'hFFFFFFFFFFFFF918:   romout <= 64'h6E69462074726562;
 
64'hFFFFFFFFFFFFF920:   romout <= 64'h0A0D000A0A0D6863;
 
64'hFFFFFFFFFFFFF928:   romout <= 64'h616857000A0D4B4F;
 
64'hFFFFFFFFFFFFF930:   romout <= 64'h726F53000A0D3F74;
 
64'hFFFFFFFFFFFFF938:   romout <= 64'h6F43000A0D2E7972;
 
64'hFFFFFFFFFFFFF940:   romout <= 64'h4C4620746361706D;
 
64'hFFFFFFFFFFFFF948:   romout <= 64'h6461657220485341;
 
64'hFFFFFFFFFFFFF950:   romout <= 64'h0A0D726F72726520;
 
64'hFFFFFFFFFFFFF958:   romout <= 64'h207265626D754E00;
 
64'hFFFFFFFFFFFFF960:   romout <= 64'h62206F6F74207369;
 
64'hFFFFFFFFFFFFF968:   romout <= 64'h766944000A0D6769;
 
64'hFFFFFFFFFFFFF970:   romout <= 64'h7962206E6F697369;
 
64'hFFFFFFFFFFFFF978:   romout <= 64'h000A0D6F72657A20;
 
64'hFFFFFFFFFFFFF980:   romout <= 64'h7620666F2074754F;
 
64'hFFFFFFFFFFFFF988:   romout <= 64'h20656C6261697261;
 
64'hFFFFFFFFFFFFF990:   romout <= 64'h000A0D6563617073;
 
64'hFFFFFFFFFFFFF998:   romout <= 64'h6620736574796220;
 
64'hFFFFFFFFFFFFF9A0:   romout <= 64'h0A0D000A0D656572;
 
64'hFFFFFFFFFFFFF9A8:   romout <= 64'h000A0D7964616552;
 
64'hFFFFFFFFFFFFF9B0:   romout <= 64'h6E69746365707845;
 
64'hFFFFFFFFFFFFF9B8:   romout <= 64'h6D6D6F6320612067;
 
64'hFFFFFFFFFFFFF9C0:   romout <= 64'h656E694C000A0D61;
 
64'hFFFFFFFFFFFFF9C8:   romout <= 64'h207265626D756E20;
 
64'hFFFFFFFFFFFFF9D0:   romout <= 64'h0D676962206F6F74;
 
64'hFFFFFFFFFFFFF9D8:   romout <= 64'h746365707845000A;
 
64'hFFFFFFFFFFFFF9E0:   romout <= 64'h6176206120676E69;
 
64'hFFFFFFFFFFFFF9E8:   romout <= 64'h0A0D656C62616972;
 
64'hFFFFFFFFFFFFF9F0:   romout <= 64'h64616220444E5200;
 
64'hFFFFFFFFFFFFF9F8:   romout <= 64'h74656D6172617020;
 
64'hFFFFFFFFFFFFFA00:   romout <= 64'h535953000A0D7265;
 
64'hFFFFFFFFFFFFFA08:   romout <= 64'h6464612064616220;
 
64'hFFFFFFFFFFFFFA10:   romout <= 64'h49000A0D73736572;
 
64'hFFFFFFFFFFFFFA18:   romout <= 64'h707865205455504E;
 
64'hFFFFFFFFFFFFFA20:   romout <= 64'h6120676E69746365;
 
64'hFFFFFFFFFFFFFA28:   romout <= 64'h6C62616972617620;
 
64'hFFFFFFFFFFFFFA30:   romout <= 64'h5458454E000A0D65;
 
64'hFFFFFFFFFFFFFA38:   romout <= 64'h74756F6874697720;
 
64'hFFFFFFFFFFFFFA40:   romout <= 64'h4E000A0D524F4620;
 
64'hFFFFFFFFFFFFFA48:   romout <= 64'h6570786520545845;
 
64'hFFFFFFFFFFFFFA50:   romout <= 64'h206120676E697463;
 
64'hFFFFFFFFFFFFFA58:   romout <= 64'h2064656E69666564;
 
64'hFFFFFFFFFFFFFA60:   romout <= 64'h656C626169726176;
 
64'hFFFFFFFFFFFFFA68:   romout <= 64'h2F4F544F47000A0D;
 
64'hFFFFFFFFFFFFFA70:   romout <= 64'h6162204255534F47;
 
64'hFFFFFFFFFFFFFA78:   romout <= 64'h6E20656E696C2064;
 
64'hFFFFFFFFFFFFFA80:   romout <= 64'h000A0D7265626D75;
 
64'hFFFFFFFFFFFFFA88:   romout <= 64'h77204E5255544552;
 
64'hFFFFFFFFFFFFFA90:   romout <= 64'h472074756F687469;
 
64'hFFFFFFFFFFFFFA98:   romout <= 64'h50000A0D4255534F;
 
64'hFFFFFFFFFFFFFAA0:   romout <= 64'h69206D6172676F72;
 
64'hFFFFFFFFFFFFFAA8:   romout <= 64'h6962206F6F742073;
 
64'hFFFFFFFFFFFFFAB0:   romout <= 64'h72747845000A0D67;
 
64'hFFFFFFFFFFFFFAB8:   romout <= 64'h6361726168632061;
 
64'hFFFFFFFFFFFFFAC0:   romout <= 64'h206E6F2073726574;
 
64'hFFFFFFFFFFFFFAC8:   romout <= 64'h6E676920656E696C;
 
64'hFFFFFFFFFFFFFAD0:   romout <= 64'h0D000A0D6465726F;
 
64'hFFFFFFFFFFFFFAD8:   romout <= 64'h0D000A0A0D00520A;
 
64'hFFFFFFFFFFFFFAE0:   romout <= 64'h0048000A0D004F0A;
 
64'hFFFFFFFFFFFFFAE8:   romout <= 64'h000A0D0057000A0D;
 
64'hFFFFFFFFFFFFFAF0:   romout <= 64'h000000000A0D0053;
 
64'hFFFFFFFFFFFFFAF8:   romout <= 64'h0000000000000000;
 
64'hFFFFFFFFFFFFFB00:   romout <= 64'hAAAB541000800009;
 
64'hFFFFFFFFFFFFFB08:   romout <= 64'h05802AA5555F5554;
 
64'hFFFFFFFFFFFFFB10:   romout <= 64'h0000019A02000000;
 
64'hFFFFFFFFFFFFFB18:   romout <= 64'h0104430000646810;
 
64'hFFFFFFFFFFFFFB20:   romout <= 64'h000022F8C00000C9;
 
64'hFFFFFFFFFFFFFB28:   romout <= 64'h380000000020A840;
 
64'hFFFFFFFFFFFFFB30:   romout <= 64'hFFFC004206000000;
 
64'hFFFFFFFFFFFFFB38:   romout <= 64'h01200A00009BE307;
 
64'hFFFFFFFFFFFFFB40:   romout <= 64'h0000001000800009;
 
64'hFFFFFFFFFFFFFB48:   romout <= 64'h3D5552AAAD546810;
 
64'hFFFFFFFFFFFFFB50:   romout <= 64'h0004244086AA5555;
 
64'hFFFFFFFFFFFFFB58:   romout <= 64'h02210000008BE300;
 
64'hFFFFFFFFFFFFFB60:   romout <= 64'h0000038000000000;
 
64'hFFFFFFFFFFFFFB68:   romout <= 64'h2F8C1FFFF201081C;
 
64'hFFFFFFFFFFFFFB70:   romout <= 64'h000026FA140003A9;
 
64'hFFFFFFFFFFFFFB78:   romout <= 64'h3AAAAD5552A04002;
 
64'hFFFFFFFFFFFFFB80:   romout <= 64'h000000580355AAAA;
 
64'hFFFFFFFFFFFFFB88:   romout <= 64'h11A0400000066808;
 
64'hFFFFFFFFFFFFFB90:   romout <= 64'h0004241044300006;
 
64'hFFFFFFFFFFFFFB98:   romout <= 64'h02210000008BE300;
 
64'hFFFFFFFFFFFFFBA0:   romout <= 64'h0000038000000000;
 
64'hFFFFFFFFFFFFFBA8:   romout <= 64'h2F8C1FFFF201081C;
 
64'hFFFFFFFFFFFFFBB0:   romout <= 64'h0000241200B00009;
 
64'hFFFFFFFFFFFFFBB8:   romout <= 64'h11A0400000004002;
 
64'hFFFFFFFFFFFFFBC0:   romout <= 64'h56AAABAAAAD5552A;
 
64'hFFFFFFFFFFFFFBC8:   romout <= 64'h2F8C00001291021D;
 
64'hFFFFFFFFFFFFFBD0:   romout <= 64'h0000002210000008;
 
64'hFFFFFFFFFFFFFBD8:   romout <= 64'h04207000000E0000;
 
64'hFFFFFFFFFFFFFBE0:   romout <= 64'h000222F8C1FFFEC0;
 
64'hFFFFFFFFFFFFFBE8:   romout <= 64'h01216800014BE858;
 
64'hFFFFFFFFFFFFFBF0:   romout <= 64'h000052FA14000048;
 
64'hFFFFFFFFFFFFFBF8:   romout <= 64'h1981000040004852;
 
64'hFFFFFFFFFFFFFC00:   romout <= 64'h000040DFBE000000;
 
64'hFFFFFFFFFFFFFC08:   romout <= 64'h27F400000010FEF0;
 
64'hFFFFFFFFFFFFFC10:   romout <= 64'h5000060803DC0FF0;
 
64'hFFFFFFFFFFFFFC18:   romout <= 64'h2C840180002B01B0;
 
64'hFFFFFFFFFFFFFC20:   romout <= 64'h0002A8C7FFFFFACC;
 
64'hFFFFFFFFFFFFFC28:   romout <= 64'h2C84014000FBE000;
 
64'hFFFFFFFFFFFFFC30:   romout <= 64'h000004C7FFFFFA75;
 
64'hFFFFFFFFFFFFFC38:   romout <= 64'h02FBC0000109DD00;
 
64'hFFFFFFFFFFFFFC40:   romout <= 64'h0000800000000020;
 
64'hFFFFFFFFFFFFFC48:   romout <= 64'h19803FF000000000;
 
64'hFFFFFFFFFFFFFC50:   romout <= 64'h0000D19805FF0008;
 
64'hFFFFFFFFFFFFFC58:   romout <= 64'h2F84000002902008;
 
64'hFFFFFFFFFFFFFC60:   romout <= 64'h0010A00802000228;
 
64'hFFFFFFFFFFFFFC68:   romout <= 64'h008800005A902010;
 
64'hFFFFFFFFFFFFFC70:   romout <= 64'h40000C1884680001;
 
64'hFFFFFFFFFFFFFC78:   romout <= 64'h1184400000004110;
 
64'hFFFFFFFFFFFFFC80:   romout <= 64'h0014A45084000000;
 
64'hFFFFFFFFFFFFFC88:   romout <= 64'h1184400000802200;
 
64'hFFFFFFFFFFFFFC90:   romout <= 64'h0016A45084000000;
 
64'hFFFFFFFFFFFFFC98:   romout <= 64'h0080000003402200;
 
64'hFFFFFFFFFFFFFCA0:   romout <= 64'hFC00000800000035;
 
64'hFFFFFFFFFFFFFCA8:   romout <= 64'h11805FF00084600F;
 
64'hFFFFFFFFFFFFFCB0:   romout <= 64'h0000000000000020;
 
64'hFFFFFFFFFFFFFCB8:   romout <= 64'h37800000000DE000;
 
64'hFFFFFFFFFFFFFFB0:   romout <= 64'h000000CFFFFFFF12;
 
64'hFFFFFFFFFFFFFFB8:   romout <= 64'h37800000000DE000;
 
64'hFFFFFFFFFFFFFFC0:   romout <= 64'h000000CFFFFFFF12;
 
64'hFFFFFFFFFFFFFFC8:   romout <= 64'h37800000000DE000;
 
64'hFFFFFFFFFFFFFFD0:   romout <= 64'h000000CFFFFFFF01;
 
64'hFFFFFFFFFFFFFFD8:   romout <= 64'h37800000000DE000;
 
64'hFFFFFFFFFFFFFFE0:   romout <= 64'h000000CFFFFFFF11;
 
64'hFFFFFFFFFFFFFFE8:   romout <= 64'h37800000000DE000;
 
64'hFFFFFFFFFFFFFFF0:   romout <= 64'h000000CFFFFFFA09;
 
64'hFFFFFFFFFFFFFFF8:   romout <= 64'h37800000000DE000;
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.