OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [arithpack.vhd] - Diff between revs 161 and 163

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 161 Rev 163
Line 484... Line 484...
                case it is
                case it is
                        when "mag" =>
                        when "mag" =>
                                ins(31 downto 29) := "100";
                                ins(31 downto 29) := "100";
                                ins(04 downto 00) := '1'&x"8";
                                ins(04 downto 00) := '1'&x"8";
                        when "nrm" =>
                        when "nrm" =>
                                ins(31 downto 29) := "101";
                                ins(31 downto 29) := "110";
                                ins(04 downto 00) := '1'&x"d";
                                ins(04 downto 00) := '1'&x"d";
                        when "add" =>
                        when "add" =>
                                ins(31 downto 29) := "001";
                                ins(31 downto 29) := "001";
                                ins(04 downto 00) := '0'&x"a";
                                ins(04 downto 00) := '0'&x"a";
                        when "sub" =>
                        when "sub" =>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.