OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [arithpack.vhd] - Diff between revs 168 and 173

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 168 Rev 173
Line 367... Line 367...
        --! Función que devuelve una cadena de caracteres con el estado de la maquina de estados que controla las interrupciones
        --! Función que devuelve una cadena de caracteres con el estado de la maquina de estados que controla las interrupciones
        procedure ap_iCtrlState2string(l:inout line;i:in iCtrlState) ;
        procedure ap_iCtrlState2string(l:inout line;i:in iCtrlState) ;
 
 
        --! Función que devuelve una cadena con los componentes de un vector R3 en punto flotante IEEE754        
        --! Función que devuelve una cadena con los componentes de un vector R3 en punto flotante IEEE754        
        procedure ap_v3f2string(l:inout line;v:in v3f);
        procedure ap_v3f2string(l:inout line;v:in v3f);
 
        procedure ap_xfp032string(l:inout line;vb03:in vectorblock03);
 
 
        --! Función que formatea una instrucción
        --! Función que formatea una instrucción
        function ap_format_instruction(i:string;ac_o,ac_f,bd_o,bd_f:std_logic_vector;comb:std_logic) return std_logic_vector;
        function ap_format_instruction(i:string;ac_o,ac_f,bd_o,bd_f:std_logic_vector;comb:std_logic) return std_logic_vector;
 
 
        --! Función que devuelve una cadena de caracteres de un solo caracter con el valor de un bit std_logic
        --! Función que devuelve una cadena de caracteres de un solo caracter con el valor de un bit std_logic
Line 527... Line 528...
                ap_slvf2string(l,v(1));
                ap_slvf2string(l,v(1));
                write(l,string'("[Z]"));
                write(l,string'("[Z]"));
                write(l,string'(" "));
                write(l,string'(" "));
                ap_slvf2string(l,v(0));
                ap_slvf2string(l,v(0));
        end procedure;
        end procedure;
 
        procedure ap_xfp032string(l:inout line;vb03:in vectorblock03) is
 
        begin
 
                write(l,string'("[X]"));
 
                write(l,string'(" "));
 
                ap_slvf2string(l,vb03(2));
 
                write(l,string'("[Y]"));
 
                write(l,string'(" "));
 
                ap_slvf2string(l,vb03(1));
 
                write(l,string'("[Z]"));
 
                write(l,string'(" "));
 
                ap_slvf2string(l,vb03(0));
 
        end procedure;
 
 
        procedure ap_iCtrlState2string(l:inout line;i:in iCtrlState) is
        procedure ap_iCtrlState2string(l:inout line;i:in iCtrlState) is
                variable tmp:string (1 to 9);
                variable tmp:string (1 to 9);
        begin
        begin
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.