OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [arithpack.vhd] - Diff between revs 181 and 189

Show entire file | Details | Blame | View Log

Rev 181 Rev 189
Line 22... Line 22...
        --! Estados para el controlador de interrupciones.
        --! Estados para el controlador de interrupciones.
        type iCtrlState is (WAITING_FOR_A_RFULL_EVENT,INHIBIT_RFULL_INT);
        type iCtrlState is (WAITING_FOR_A_RFULL_EVENT,INHIBIT_RFULL_INT);
 
 
        --! Float data blocks
        --! Float data blocks
        constant floatwidth : integer := 32;
        constant floatwidth : integer := 32;
 
 
 
        --! Control de tamaños de memoria.
        constant widthadmemblock : integer := 9;
        constant widthadmemblock : integer := 9;
 
 
 
        --! Reducci&oacute de memoria por mitades
 
        constant memoryreduction : integer := 1;
 
 
        subtype xfloat32 is std_logic_vector(31 downto 0);
        subtype xfloat32 is std_logic_vector(31 downto 0);
        type    v3f     is array(02 downto 0) of xfloat32;
        type    v3f     is array(02 downto 0) of xfloat32;
 
 
        --! Constantes para definir 
        --! Constantes para definir 
Line 38... Line 42...
        type    vectorblock08 is array (07 downto 0) of xfloat32;
        type    vectorblock08 is array (07 downto 0) of xfloat32;
        type    vectorblock06 is array (05 downto 0) of std_logic_vector(floatwidth-1 downto 0);
        type    vectorblock06 is array (05 downto 0) of std_logic_vector(floatwidth-1 downto 0);
        type    vectorblock04 is array (03 downto 0) of std_logic_vector(floatwidth-1 downto 0);
        type    vectorblock04 is array (03 downto 0) of std_logic_vector(floatwidth-1 downto 0);
        type    vectorblock03 is array (02 downto 0) of std_logic_vector(floatwidth-1 downto 0);
        type    vectorblock03 is array (02 downto 0) of std_logic_vector(floatwidth-1 downto 0);
        type    vectorblock02 is array (01 downto 0) of std_logic_vector(floatwidth-1 downto 0);
        type    vectorblock02 is array (01 downto 0) of std_logic_vector(floatwidth-1 downto 0);
        type    vectorblockadd02 is array (01 downto 0) of std_logic_vector(widthadmemblock-1 downto 0);
        type    vectorblockadd02 is array (01 downto 0) of std_logic_vector(widthadmemblock-1-memoryreduction downto 0);
 
 
        --! Constante de reseteo
        --! Constante de reseteo
        constant rstMasterValue : std_logic :='0';
        constant rstMasterValue : std_logic :='0';
 
 
        --! Constantes periodicas.
        --! Constantes periodicas.
Line 194... Line 198...
                width_byteena_a                 : natural
                width_byteena_a                 : natural
        );
        );
        port (
        port (
                wren_a          : in std_logic;
                wren_a          : in std_logic;
                clock0          : in std_logic;
                clock0          : in std_logic;
                address_a       : in std_logic_vector(8 downto 0);
                address_a       : in std_logic_vector(widthadmemblock-1-memoryreduction downto 0);
                address_b       : in std_logic_vector(8 downto 0);
                address_b       : in std_logic_vector(widthadmemblock-1-memoryreduction downto 0);
                rden_b          : in std_logic;
                rden_b          : in std_logic;
                q_b                     : out std_logic_vector(31 downto 0);
                q_b                     : out std_logic_vector(31 downto 0);
                data_a          : in std_logic_vector(31 downto 0)
                data_a          : in std_logic_vector(31 downto 0)
 
 
        );
        );

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.