OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [customCounter.vhd] - Diff between revs 147 and 151

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 147 Rev 151
Line 22... Line 22...
 
 
 
 
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_unsigned.all;
 
use work.arithpack.all;
 
 
entity customCounter is
entity customCounter is
        generic (
        generic (
                EOBFLAG         : string := "NO";
                EOBFLAG         : string := "NO";
                ZEROFLAG        : string := "YES";
                ZEROFLAG        : string := "YES";
Line 45... Line 45...
 
 
 
 
 
 
architecture customCounter_arch of customCounter is
architecture customCounter_arch of customCounter is
 
 
        constant rstMasterValue : std_logic := '0';
 
        signal scount_d, scount_q, sgo : std_logic_vector(width-1 downto 0);
        signal scount_d, scount_q, sgo : std_logic_vector(width-1 downto 0);
        signal seob_flag : std_logic;
        signal seob_flag : std_logic;
 
 
begin
begin
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.