OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [customCounter.vhd] - Diff between revs 152 and 153

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 152 Rev 153
Line 148... Line 148...
        add_proc:
        add_proc:
        process (scount_q,sgo,set,setValue)
        process (scount_q,sgo,set,setValue)
        begin
        begin
                case set is
                case set is
                        --! Si subwidth es cero, p.ej. cuando se quiere hacer un contador simple y no detectar el final de bloques de 4 bits de ancho, el compilador ignora el statement con la expresión por fuera del rango. 
                        --! Si subwidth es cero, p.ej. cuando se quiere hacer un contador simple y no detectar el final de bloques de 4 bits de ancho, el compilador ignora el statement con la expresión por fuera del rango. 
                        when '1'  => scount_d(subwidth-1 downto 0) <= (others => '0');scount_d(width-1 downto subwidth) <= setValue;
                        when '1'  =>
                        when others => scount_d <= scount_q+sgo;
                                scount_d(subwidth-1 downto 0) <= (others => '0');
 
                                scount_d(width-1 downto subwidth) <= setValue;
 
                        when others =>
 
                                scount_d <= scount_q+sgo;
                end case;
                end case;
        end process;
        end process;
 
 
        count_proc:
        count_proc:
        process (clk,rst)
        process (clk,rst)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.