OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [dpc.vhd] - Diff between revs 134 and 135

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 134 Rev 135
Line 197... Line 197...
                        sfactor(f10) <= sparaminput(cz) ;
                        sfactor(f10) <= sparaminput(cz) ;
                        sfactor(f11) <= sparaminput(dx) ;
                        sfactor(f11) <= sparaminput(dx) ;
 
 
                end if;
                end if;
 
 
                ssumando(s6) <= sprd32blk(p3);
                ssumando(s6) <= sadd32blk(a2);
                ssumando(s7) <= sdpfifo_q(dpfifocd);
                ssumando(s7) <= sdpfifo_q(dpfifocd);
                if addsub='1' then
                if addsub='1' then
                        ssumando(s0) <= sparaminput(ax);
                        ssumando(s0) <= sparaminput(ax);
                        ssumando(s1) <= sparaminput(bx);
                        ssumando(s1) <= sparaminput(bx);
                        ssumando(s2) <= sparaminput(ay);
                        ssumando(s2) <= sparaminput(ay);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.