OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [dpc.vhd] - Diff between revs 138 and 139

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 138 Rev 139
Line 98... Line 98...
 
 
        register_products_outputs:
        register_products_outputs:
        process (clk,ena)
        process (clk,ena)
        begin
        begin
                if clk'event and clk='1' and ena='1' then
                if clk'event and clk='1' and ena='1' then
                        for i 05 downto 0 loop
                        for i in 05 downto 0 loop
                                sprd32blk(i)  <= prd32blko(i*width+width-1 downto i*width);
                                sprd32blk(i)  <= prd32blko(i*width+width-1 downto i*width);
                        end loop;
                        end loop;
                end if;
                end if;
        end process;
        end process;
 
 
Line 154... Line 154...
 
 
 
 
 
 
        --! La entrada al inversor SIEMPRE viene con la salida de la raiz cuadrada
        --! La entrada al inversor SIEMPRE viene con la salida de la raiz cuadrada
        inv32blki <= sqr32blko;
        inv32blki <= sqr32blko;
        --! La entrada de la ra’z cuadrada SIEMPRE viene con la salida del sumador 1.
        --! La entrada de la ra�z cuadrada SIEMPRE viene con la salida del sumador 1.
        sqr32blki <= sadd32blk(a1);
        sqr32blki <= sadd32blk(a1);
 
 
 
 
 
 
        --! Conectar las entradas del sumador a, a la salida 
        --! Conectar las entradas del sumador a, a la salida 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.