OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [dpc.vhd] - Diff between revs 150 and 151

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 150 Rev 151
Line 20... Line 20...
--     You should have received a copy of the GNU General Public License
--     You should have received a copy of the GNU General Public License
--     along with raytrac.  If not, see <http://www.gnu.org/licenses/>.
--     along with raytrac.  If not, see <http://www.gnu.org/licenses/>.
 
 
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
 
use work.arithpack.all;
 
 
entity dpc is
entity dpc is
        generic (
        generic (
                width : integer := 32
                width : integer := 32
                --!external_readable_widthad    : integer := integer(ceil(log(real(external_readable_blocks),2.0))))                    
                --!external_readable_widthad    : integer := integer(ceil(log(real(external_readable_blocks),2.0))))                    
Line 93... Line 94...
        signal sres0w,sres4w                            : std_logic;
        signal sres0w,sres4w                            : std_logic;
        signal sres567f,sres123f                        : std_logic; --! Entradas de la se&ntilde;al de full de las colas de resultados. 
        signal sres567f,sres123f                        : std_logic; --! Entradas de la se&ntilde;al de full de las colas de resultados. 
        signal sres24f,sres0f                           : std_logic;
        signal sres24f,sres0f                           : std_logic;
 
 
 
 
        constant rstMasterValue : std_logic := '0';
 
 
 
begin
begin
 
 
        --! Cadena de sincronizaci&oacute;n: 29 posiciones.
        --! Cadena de sincronizaci&oacute;n: 29 posiciones.
        ssync_chain(0) <= sync_chain_0;
        ssync_chain(0) <= sync_chain_0;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.