OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [fadd32.vhd] - Diff between revs 170 and 190

Show entire file | Details | Blame | View Log

Rev 170 Rev 190
Line 38... Line 38...
                c32             : out xfloat32
                c32             : out xfloat32
        );
        );
end entity;
end entity;
architecture fadd32_arch of fadd32 is
architecture fadd32_arch of fadd32 is
 
 
 
        --! Altera Compiler Directive, to avoid m9k autoinferring thanks to the guys at http://www.alteraforum.com/forum/archive/index.php/t-30784.html .... 
 
        attribute altera_attribute : string;
 
        attribute altera_attribute of fadd32_arch : architecture is "-name AUTO_SHIFT_REGISTER_RECOGNITION OFF";
 
 
 
 
        --!TBXSTART:STAGE0
        --!TBXSTART:STAGE0
        signal s0delta  : std_logic_vector(7 downto 0);
        signal s0delta  : std_logic_vector(7 downto 0);
        signal s0a,s0b  : std_logic_vector(31 downto 0); -- Float 32 bit 
        signal s0a,s0b  : std_logic_vector(31 downto 0); -- Float 32 bit 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.