OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [fadd32.vhd] - Diff between revs 152 and 153

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 152 Rev 153
Line 34... Line 34...
        port (
        port (
                clk,dpc : in std_logic;
                clk,dpc : in std_logic;
                a32,b32 : in std_logic_vector (31 downto 0);
                a32,b32 : in std_logic_vector (31 downto 0);
                c32             : out std_logic_vector(31 downto 0)
                c32             : out std_logic_vector(31 downto 0)
        );
        );
end fadd32;
end entity;
architecture fadd32_arch of fadd32 is
architecture fadd32_arch of fadd32 is
 
 
        component lpm_mult
        component lpm_mult
        generic (
        generic (
                lpm_hint                        : string;
                lpm_hint                        : string;
Line 254... Line 254...
 
 
 
 
 
 
 
 
 
 
end fadd32_arch;
end architecture;
 
 
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.