OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [fadd32.vhd] - Diff between revs 158 and 160

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 158 Rev 160
Line 41... Line 41...
architecture fadd32_arch of fadd32 is
architecture fadd32_arch of fadd32 is
 
 
 
 
 
 
        signal s1zero,s7sign                                                                                    : std_logic;
        signal s1zero,s7sign                                                                                    : std_logic;
        --!TBXSTART:STAGE5
        --!TXBXSTART:STAGE5
        signal s5token                                                                                                                                          : std_logic_vector(2 downto 0);
        signal s5token                                                                                                                                          : std_logic_vector(2 downto 0);
        signal s5tokena,s5tokenb,s5tokenc                                                                                                       : std_logic;
        signal s5tokena,s5tokenb,s5tokenc                                                                                                       : std_logic;
        --!TBXEND
        --!TBXEND
        signal s1delta                                                                                                                                          : std_logic_vector(5 downto 0);
        signal s1delta                                                                                                                                          : std_logic_vector(5 downto 0);
        signal s0delta,s1exp,s2exp,s3exp,s4exp,s5exp,s6exp,s5factor,s6factor,s7exp,s7factor     : std_logic_vector(7 downto 0);
        signal s0delta,s1exp,s2exp,s3exp,s4exp,s5exp,s6exp,s5factor,s6factor,s7exp,s7factor     : std_logic_vector(7 downto 0);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.