OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [fmul32.vhd] - Diff between revs 150 and 152

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 150 Rev 152
Line 53... Line 53...
                result  : out std_logic_vector ( lpm_widthp-1 downto 0 )
                result  : out std_logic_vector ( lpm_widthp-1 downto 0 )
        );
        );
        end component;
        end component;
 
 
        --Stage 0 signals
        --Stage 0 signals
 
        --!TBXSTART:MULT_STAGE0 
        signal s0sga,s0sgb,s0zrs,s1sgr,s2sgr:std_logic;
        signal s0sga,s0sgb,s0zrs : std_logic;
        signal s0exa,s0exb,s1exp,s2exp:std_logic_vector(7 downto 0);
 
        signal s0exp : std_logic_vector(7 downto 0);
        signal s0exp : std_logic_vector(7 downto 0);
        signal s0uma,s0umb:std_logic_vector(22 downto 0);
        signal s0uma,s0umb:std_logic_vector(22 downto 0);
        signal s0ad,s0bc,s1ad,s1bc:std_logic_vector(23 downto 0);
 
        signal s0ac:std_logic_vector(35 downto 0);
        signal s0ac:std_logic_vector(35 downto 0);
 
        --!TBXEND
 
        signal s1sgr,s2sgr:std_logic;
 
        signal s0exa,s0exb,s1exp,s2exp:std_logic_vector(7 downto 0);
 
        signal s0ad,s0bc,s1ad,s1bc:std_logic_vector(23 downto 0);
 
 
 
 
        signal s1ac,s1umu:std_logic_vector(35 downto 0);
        signal s1ac,s1umu:std_logic_vector(35 downto 0);
        signal s2umu:std_logic_vector(24 downto 0);
        signal s2umu:std_logic_vector(24 downto 0);
        signal sxprop : std_logic_vector(2 downto 0);
        signal sxprop : std_logic_vector(2 downto 0);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.