OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [fmul32.vhd] - Diff between revs 157 and 158

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 157 Rev 158
Line 30... Line 30...
 
 
entity fmul32 is
entity fmul32 is
 
 
        port (
        port (
                clk             : in std_logic;
                clk             : in std_logic;
                a32,b32         : in std_logic_vector(31 downto 0);
                a32,b32         : in xfloat32;
                p32                     : out std_logic_vector(31 downto 0)
                p32                     : out xfloat32
 
 
        );
        );
end entity;
end entity;
architecture fmul32_arch of fmul32 is
architecture fmul32_arch of fmul32 is
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.