OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [fmul32.vhd] - Diff between revs 158 and 160

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 158 Rev 160
Line 41... Line 41...
 
 
 
 
 
 
        --Stage 0 signals
        --Stage 0 signals
        signal s0dataa_alfa,s0dataa_beta,s0dataa_gama,s0datab : std_logic_vector(17 downto 0);
        signal s0dataa_alfa,s0dataa_beta,s0dataa_gama,s0datab : std_logic_vector(17 downto 0);
        --!TBXSTART:MULT_STAGE0 
        --!TXBXSTART:MULT_STAGE0        
        signal s0sga,s0sgb,s0zrs : std_logic;
        signal s0sga,s0sgb,s0zrs : std_logic;
        signal s0exp : std_logic_vector(7 downto 0);
        signal s0exp : std_logic_vector(7 downto 0);
        signal s0uma,s0umb : std_logic_vector(22 downto 0);
        signal s0uma,s0umb : std_logic_vector(22 downto 0);
        signal s0ac : std_logic_vector(35 downto 0);
        signal s0ac : std_logic_vector(35 downto 0);
        --!TBXEND
        --!TBXEND

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.