OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [invr32.vhd] - Diff between revs 152 and 153

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 152 Rev 153
Line 32... Line 32...
 
 
                clk             : in std_logic;
                clk             : in std_logic;
                dvd32   : in std_logic_vector(31 downto 0);
                dvd32   : in std_logic_vector(31 downto 0);
                qout32  : out std_logic_vector(31 downto 0)
                qout32  : out std_logic_vector(31 downto 0)
        );
        );
end invr32;
end entity;
architecture invr32_arch of invr32 is
architecture invr32_arch of invr32 is
 
 
        component altsyncram
        component altsyncram
        generic (
        generic (
                address_aclr_a          : string;
                address_aclr_a          : string;
Line 123... Line 123...
                        s0e129(i)<=not(s0uexp(i));
                        s0e129(i)<=not(s0uexp(i));
                end loop;
                end loop;
        end process;
        end process;
        qout32(6 downto 0) <= (others => '0');
        qout32(6 downto 0) <= (others => '0');
 
 
end invr32_arch;
 
 No newline at end of file
 No newline at end of file
 
end architecture;
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.