OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [memblock.vhd] - Diff between revs 140 and 141

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 140 Rev 141
Line 192... Line 192...
        --! Decodificación de señal escritura x bloque de memoria, selecciona la memoria en la que se va a escribir a partir de la dirección de entrada.
        --! Decodificación de señal escritura x bloque de memoria, selecciona la memoria en la que se va a escribir a partir de la dirección de entrada.
        operands_block_comb: process (s0ext_wr_add,s0ext_wr)
        operands_block_comb: process (s0ext_wr_add,s0ext_wr)
        begin
        begin
 
 
                --! Etapa 0: Decodificacion de las se&ntilde:ales de escritura.Revisar el capitulo de bloques de memoria para chequear como está el pool de direcciones por bloques de vectores.
                --! Etapa 0: Decodificacion de las se&ntilde:ales de escritura.Revisar el capitulo de bloques de memoria para chequear como está el pool de direcciones por bloques de vectores.
 
                --! Las direcciones de bloque 3,7,11,15 corresponden a la cola de instrucciones.
                case s0ext_wr_add(external_writeable_widthad+widthadmemblock-1 downto widthadmemblock) is
                case s0ext_wr_add(external_writeable_widthad+widthadmemblock-1 downto widthadmemblock) is
                        when x"0" => s0ext_wr_add_one_hot <= '0'&x"00"&"000"&s0ext_wr;
                        when x"0" => s0ext_wr_add_one_hot <= '0'&x"00"&"000"&s0ext_wr;
                        when x"1" => s0ext_wr_add_one_hot <= '0'&x"00"&"00"&s0ext_wr&'0';
                        when x"1" => s0ext_wr_add_one_hot <= '0'&x"00"&"00"&s0ext_wr&'0';
                        when x"2" => s0ext_wr_add_one_hot <= '0'&x"00"&'0'&s0ext_wr&"00";
                        when x"2" => s0ext_wr_add_one_hot <= '0'&x"00"&'0'&s0ext_wr&"00";
                        when x"4" => s0ext_wr_add_one_hot <= '0'&x"00"&s0ext_wr&"000";
                        when x"4" => s0ext_wr_add_one_hot <= '0'&x"00"&s0ext_wr&"000";

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.