OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [memblock.vhd] - Diff between revs 158 and 159

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 158 Rev 159
Line 96... Line 96...
 
 
        --! Cola interna de producto cccccpunto, ubicada entre el pipe line aritméco. 
        --! Cola interna de producto cccccpunto, ubicada entre el pipe line aritméco. 
        q0q1 : scfifo --! Debe ir registrada la salida.
        q0q1 : scfifo --! Debe ir registrada la salida.
        generic map (
        generic map (
                add_ram_output_register => "OFF",
                add_ram_output_register => "OFF",
                allow_wrcycle_when_full => "OFF",
                allow_rwcycle_when_full => "OFF",
                intended_device_family  => "CycloneIII",
                intended_device_family  => "CycloneIII",
                lpm_hint                                => "RAM_BLOCK_TYPE=M9K",
                lpm_hint                                => "RAM_BLOCK_TYPE=M9K",
                almost_full_value               => 8,
                almost_full_value               => 8,
                lpm_numwords                    => 8,
                lpm_numwords                    => 8,
                lpm_showahead                   => "ON",
                lpm_showahead                   => "ON",
Line 123... Line 123...
 
 
        --! Cola interna de normalización de vectores, ubicada entre el pipeline aritmético
        --! Cola interna de normalización de vectores, ubicada entre el pipeline aritmético
        qxqyqz : scfifo
        qxqyqz : scfifo
        generic map (
        generic map (
                add_ram_output_register => "OFF",
                add_ram_output_register => "OFF",
                allow_wrcycle_when_full => "OFF",
                allow_rwcycle_when_full => "OFF",
                intended_device_family  => "Cyclone III",
                intended_device_family  => "Cyclone III",
                lpm_hint                => "RAM_BLOCK_TYPE=M9K",
                lpm_hint                => "RAM_BLOCK_TYPE=M9K",
                almost_full_value               => 32,
                almost_full_value               => 32,
                lpm_numwords                    => 32,
                lpm_numwords                    => 32,
                lpm_showahead                   => "ON",
                lpm_showahead                   => "ON",
Line 152... Line 152...
 
 
        --! Cola de instrucciones 
        --! Cola de instrucciones 
        qi : scfifo
        qi : scfifo
        generic map (
        generic map (
                add_ram_output_register => "OFF",
                add_ram_output_register => "OFF",
                allow_wrcycle_when_full => "OFF",
                allow_rwcycle_when_full => "OFF",
                intended_device_family  => "Cyclone III",
                intended_device_family  => "Cyclone III",
                lpm_hint                                => "RAM_BLOCK_TYPE=M9K",
                lpm_hint                                => "RAM_BLOCK_TYPE=M9K",
                almost_full_value               => 32,
                almost_full_value               => 32,
                lpm_numwords                    => 32,
                lpm_numwords                    => 32,
                lpm_showahead                   => "OFF",
                lpm_showahead                   => "OFF",
Line 232... Line 232...
        for i in 7 downto 0 generate
        for i in 7 downto 0 generate
                resultsfifo : scfifo
                resultsfifo : scfifo
                generic map     (
                generic map     (
                        add_ram_output_register => "OFF",
                        add_ram_output_register => "OFF",
                        almost_full_value               => 480,
                        almost_full_value               => 480,
                        allow_wrcycle_when_full => "OFF",
                        allow_rwcycle_when_full => "OFF",
                        intended_device_family  => "Cyclone III",
                        intended_device_family  => "Cyclone III",
                        lpm_hint                                => "RAM_BLOCK_TYPE=M9K",
                        lpm_hint                                => "RAM_BLOCK_TYPE=M9K",
                        lpm_numwords                    => 512,
                        lpm_numwords                    => 512,
                        lpm_showahead                   => "ON",
                        lpm_showahead                   => "ON",
                        lpm_type                                => "SCIFIFO",
                        lpm_type                                => "SCIFIFO",

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.