URL
https://opencores.org/ocsvn/raytrac/raytrac/trunk
[/] [raytrac/] [branches/] [fp/] [memblock.vhd] - Diff between revs 160 and 161
Go to most recent revision |
Show entire file |
Details |
Blame |
View Log
Rev 160 |
Rev 161 |
Line 60... |
Line 60... |
|
|
|
|
|
|
|
|
|
|
--!TBXSTART:MEMBLOCK_EXTERNAL_WRITE
|
--!TXBXSTART:MEMBLOCK_EXTERNAL_WRITE
|
signal s0ext_wr_add_one_hot : std_logic_vector(12-1+1 downto 0); --! La señal extra es para la escritura de la cola de instrucciones.
|
signal s0ext_wr_add_one_hot : std_logic_vector(12-1+1 downto 0); --! La señal extra es para la escritura de la cola de instrucciones.
|
signal s0ext_wr_add : std_logic_vector(4+widthadmemblock-1 downto 0);
|
signal s0ext_wr_add : std_logic_vector(4+widthadmemblock-1 downto 0);
|
signal s0ext_wr : std_logic;
|
signal s0ext_wr : std_logic;
|
signal s0ext_d : std_logic_vector(floatwidth-1 downto 0);
|
signal s0ext_d : std_logic_vector(floatwidth-1 downto 0);
|
--!TBXEND
|
--!TBXEND
|
Line 80... |
Line 80... |
--! Señal de soporte
|
--! Señal de soporte
|
signal s0ext_rd_add_choice : std_logic_vector(3 downto 0);
|
signal s0ext_rd_add_choice : std_logic_vector(3 downto 0);
|
|
|
|
|
--!TBXSTART:MEMBLOCK_INTERNAL_READ
|
--!TBXSTART:MEMBLOCK_INTERNAL_READ
|
signal s0int_rd_add : std_logic_vector(widthadmemblock-1 downto 0);
|
|
signal sint_rd_add : vectorblockadd02;
|
signal sint_rd_add : vectorblockadd02;
|
signal s1int_q : vectorblock12;
|
signal s1int_q : vectorblock12;
|
--!TBXEND
|
--!TBXEND
|
|
|
--!TXBXSTART:MEMBLOCK_INTERNAL_WRITE
|
--!TXBXSTART:MEMBLOCK_INTERNAL_WRITE
|
© copyright 1999-2024
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.