URL
https://opencores.org/ocsvn/raytrac/raytrac/trunk
[/] [raytrac/] [branches/] [fp/] [memblock.vhd] - Diff between revs 161 and 174
Go to most recent revision |
Show entire file |
Details |
Blame |
View Log
Rev 161 |
Rev 174 |
Line 91... |
Line 91... |
signal sresultfifo_full : std_logic_vector(7 downto 0);
|
signal sresultfifo_full : std_logic_vector(7 downto 0);
|
--!TBXEND
|
--!TBXEND
|
|
|
begin
|
begin
|
|
|
--! Cola interna de producto cccccpunto, ubicada entre el pipe line aritméco.
|
--! Colas internas de producto punto, ubicada en el pipe line aritméco. Paralelo a los sumadores a0 y a2.
|
q0q1 : scfifo --! Debe ir registrada la salida.
|
q0q1 : scfifo --! Debe ir registrada la salida.
|
generic map (
|
generic map (
|
add_ram_output_register => "OFF",
|
add_ram_output_register => "OFF",
|
allow_rwcycle_when_full => "OFF",
|
allow_rwcycle_when_full => "OFF",
|
intended_device_family => "CycloneIII",
|
intended_device_family => "CycloneIII",
|
© copyright 1999-2025
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.