OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [memblock.vhd] - Diff between revs 189 and 190

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 189 Rev 190
Line 101... Line 101...
        q0q1 : scfifo --! Debe ir registrada la salida.
        q0q1 : scfifo --! Debe ir registrada la salida.
        generic map (
        generic map (
                add_ram_output_register => "OFF",
                add_ram_output_register => "OFF",
                allow_rwcycle_when_full => "OFF",
                allow_rwcycle_when_full => "OFF",
                intended_device_family  => "CycloneIII",
                intended_device_family  => "CycloneIII",
                lpm_hint                                => "RAM_BLOCK_TYPE=M9K",
                lpm_hint                                => "MAXIMUM_DEPTH=8",
                almost_full_value               => 8,
                almost_full_value               => 8,
                lpm_numwords                    => 8,
                lpm_numwords                    => 8,
                lpm_showahead                   => "ON",
                lpm_showahead                   => "ON",
                lpm_type                                => "SCIFIFO",
                lpm_type                                => "SCIFIFO",
                lpm_width                               => 64,
                lpm_width                               => 64,
                lpm_widthu                              => 3,
                lpm_widthu                              => 3,
                overflow_checking               => "ON",
                overflow_checking               => "ON",
                underflow_checking              => "ON",
                underflow_checking              => "ON",
                use_eab                                 => "ON"
                use_eab                                 => "OFF"
        )
        )
        port    map (
        port    map (
                rdreq           => dpfifo_rd,
                rdreq           => dpfifo_rd,
                aclr            => '0',
                aclr            => '0',
                empty           => open,
                empty           => open,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.