OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [memblock.vhd] - Diff between revs 190 and 191

Show entire file | Details | Blame | View Log

Rev 190 Rev 191
Line 158... Line 158...
        generic map (
        generic map (
                add_ram_output_register => "OFF",
                add_ram_output_register => "OFF",
                allow_rwcycle_when_full => "OFF",
                allow_rwcycle_when_full => "OFF",
                intended_device_family  => "Cyclone III",
                intended_device_family  => "Cyclone III",
                lpm_hint                                => "RAM_BLOCK_TYPE=M9K",
                lpm_hint                                => "RAM_BLOCK_TYPE=M9K",
                almost_full_value               => 32,
                almost_full_value               => 16,
                lpm_numwords                    => 32,
                lpm_numwords                    => 16,
                lpm_showahead                   => "ON",
                lpm_showahead                   => "ON",
                lpm_type                                => "SCIFIFO",
                lpm_type                                => "SCIFIFO",
                lpm_width                               => 32,
                lpm_width                               => 32,
                lpm_widthu                              => 5,
                lpm_widthu                              => 4,
                overflow_checking               => "ON",
                overflow_checking               => "ON",
                underflow_checking              => "ON",
                underflow_checking              => "ON",
                use_eab                                 => "ON"
                use_eab                                 => "OFF"
        )
        )
        port    map (
        port    map (
                rdreq           => instrfifo_rd,
                rdreq           => instrfifo_rd,
                aclr            => '0',
                aclr            => '0',
                empty           => instrfifo_empty,
                empty           => instrfifo_empty,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.