OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [raytrac.vhd] - Diff between revs 157 and 158

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 157 Rev 158
Line 75... Line 75...
        signal s_iq_empty               : std_logic;
        signal s_iq_empty               : std_logic;
        signal s_iq                             : std_logic_vector (31 downto 0);
        signal s_iq                             : std_logic_vector (31 downto 0);
        --! Señales de Memblock -> Interruption Machine
        --! Señales de Memblock -> Interruption Machine
        signal s_rfull_events   : std_logic_vector (3 downto 0); --Estas señales tambien entran a DPC.
        signal s_rfull_events   : std_logic_vector (3 downto 0); --Estas señales tambien entran a DPC.
        --! Señales de Memblock -> DPC.
        --! Señales de Memblock -> DPC.
        signal s_q                              : std_logic_vector (12*32-1 downto 0);
        signal s_q                              : vectorblock12;
        signal s_normfifo_q             : std_logic_vector (3*32-1 downto 0);
        signal s_normfifo_q             : std_logic_vector (3*32-1 downto 0);
        signal s_dpfifo_q               : std_logic_vector (2*32-1 downto 0);
        signal s_dpfifo_q               : std_logic_vector (2*32-1 downto 0);
        --!TBXEND
        --!TBXEND
        --!TBXSTART:SQR32
        --!TBXSTART:SQR32
        --!Señales de Bloque de Raíz Cuadrada a DPC
        --!Señales de Bloque de Raíz Cuadrada a DPC
Line 103... Line 103...
        signal s_dpfifo_w               : std_logic;
        signal s_dpfifo_w               : std_logic;
        signal s_dpfifo_r               : std_logic;
        signal s_dpfifo_r               : std_logic;
        signal s_dpfifo_d               : std_logic_vector (2*32-1 downto 0);
        signal s_dpfifo_d               : std_logic_vector (2*32-1 downto 0);
        signal s_normfifo_w             : std_logic;
        signal s_normfifo_w             : std_logic;
        signal s_normfifo_r             : std_logic;
        signal s_normfifo_r             : std_logic;
        signal s_results_d              : std_logic_vector (8*32-1 downto 0);
        signal s_results_d              : vectorblock08;
        signal s_normfifo_d             : std_logic_vector (3*32-1 downto 0);
        signal s_normfifo_d             : std_logic_vector (3*32-1 downto 0);
        --!Señales de DPC a Interruption Machine
        --!Señales de DPC a Interruption Machine
        signal s_eoi_events             : std_logic_vector (3 downto 0);
        signal s_eoi_events             : std_logic_vector (3 downto 0);
        --! Señales de DPC a ArithBlock
        --! Señales de DPC a ArithBlock
        signal s_f                              : std_logic_vector (12*32-1 downto 0);
        signal s_f                              : vectorblock12;
        signal s_a                              : std_logic_vector (8*32-1 downto 0);
        signal s_a                              : vectorblock08;
        --! Parcialmente las señales de salida de los sumadores van al data path control.
        --! Parcialmente las señales de salida de los sumadores van al data path control.
        signal s_s                              : std_logic_vector (4*32-1 downto 0);
        signal s_s                              : vectorblock04;
        signal s_p                              : std_logic_vector (6*32-1 downto 0);
        signal s_p                              : vectorblock06;
        --!TBXEND
        --!TBXEND
        --!TBXSTART:IM
        --!TBXSTART:IM
        --! Señales de Interruption Machine al testbench
        --! Señales de Interruption Machine al testbench
        signal s_iCtrlState             : iCtrlState;
        signal s_iCtrlState             : iCtrlState;
        --!TBXEND       
        --!TBXEND       
Line 131... Line 131...
        s_int_rd_add  <= s_addb&s_adda;
        s_int_rd_add  <= s_addb&s_adda;
        --!TBXINSTANCESTART
        --!TBXINSTANCESTART
        MemoryBlock : memblock
        MemoryBlock : memblock
        generic map (
        generic map (
                blocksize                                       => 512,
                blocksize                                       => 512,
                external_writeable_blocks       => 12,
 
                external_readable_blocks        => 8,
 
                external_readable_widthad       => 3,
                external_readable_widthad       => 3,
                external_writeable_widthad      => 4
                external_writeable_widthad      => 4
        )
        )
        port map (
        port map (
                clk                                     => clk,
                clk                                     => clk,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.