OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [raytrac.vhd] - Diff between revs 158 and 160

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 158 Rev 160
Line 79... Line 79...
        --! Señales de Memblock -> DPC.
        --! Señales de Memblock -> DPC.
        signal s_q                              : vectorblock12;
        signal s_q                              : vectorblock12;
        signal s_normfifo_q             : std_logic_vector (3*32-1 downto 0);
        signal s_normfifo_q             : std_logic_vector (3*32-1 downto 0);
        signal s_dpfifo_q               : std_logic_vector (2*32-1 downto 0);
        signal s_dpfifo_q               : std_logic_vector (2*32-1 downto 0);
        --!TBXEND
        --!TBXEND
        --!TBXSTART:SQR32
        --!TXBXSTART:SQR32
        --!Señales de Bloque de Raíz Cuadrada a DPC
        --!Señales de Bloque de Raíz Cuadrada a DPC
        signal s_sq32                   : std_logic_vector (31 downto 0);
        signal s_sq32                   : std_logic_vector (31 downto 0);
        --!TBXEND
        --!TBXEND
        --!TBXSTART:INV32
        --!TXBXSTART:INV32
        --!Señales del bloque inversor a DPC.
        --!Señales del bloque inversor a DPC.
        signal s_qout32                 : std_logic_vector (31 downto 0);
        signal s_qout32                 : std_logic_vector (31 downto 0);
        --!TBXEND
        --!TBXEND
        --!TBXSTART:DPC
        --!TXBXSTART:DPC
        --! Señales de DataPathControl -> State Machine
        --! Señales de DataPathControl -> State Machine
        signal s_full_r                 : std_logic;
        signal s_full_r                 : std_logic;
        --! Señales de DPC a sqrt32.
        --! Señales de DPC a sqrt32.
        signal s_rd32                   : std_logic_vector (31 downto 0);
        signal s_rd32                   : std_logic_vector (31 downto 0);
        --! Señales de DPC a inv32.
        --! Señales de DPC a inv32.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.