OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [raytrac.vhd] - Diff between revs 161 and 163

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 161 Rev 163
Line 65... Line 65...
        signal s_iq_rd_ack              : std_logic;
        signal s_iq_rd_ack              : std_logic;
        --! Señales de State Machine -> DataPathControl
        --! Señales de State Machine -> DataPathControl
        signal s_sync_chain_0   : std_logic;
        signal s_sync_chain_0   : std_logic;
        signal s_dpc_uca                : std_logic_vector(2 downto 0);
        signal s_dpc_uca                : std_logic_vector(2 downto 0);
        signal s_eoi                    : std_logic;
        signal s_eoi                    : std_logic;
 
        signal s_sign                   : std_logic;
        --!TBXEND
        --!TBXEND
        --! Señales de State Machine -> Testbench
        --! Señales de State Machine -> Testbench
        signal s_smState                : macState;
        signal s_smState                : macState;
 
 
 
 
Line 128... Line 129...
        --!TBXEND       
        --!TBXEND       
begin
begin
 
 
 
 
 
 
 
        --! Signo de los bloques de suma
 
        s_sign <= not(s_dpc_uca(2)) and s_dpc_uca(1);
        --! Instanciar el bloque de memorias MEMBLOCK
        --! Instanciar el bloque de memorias MEMBLOCK
        s_resultfifo_wr <= s_resultsfifo_w(4)&s_resultsfifo_w(4)&s_resultsfifo_w(4)&s_resultsfifo_w(3)&s_resultsfifo_w(2)&s_resultsfifo_w(1)&s_resultsfifo_w(2)&s_resultsfifo_w(0);
        s_resultfifo_wr <= s_resultsfifo_w(4)&s_resultsfifo_w(4)&s_resultsfifo_w(4)&s_resultsfifo_w(3)&s_resultsfifo_w(2)&s_resultsfifo_w(1)&s_resultsfifo_w(2)&s_resultsfifo_w(0);
        s_int_rd_add  <= s_addb&s_adda;
        s_int_rd_add  <= s_addb&s_adda;
        --!TBXINSTANCESTART
        --!TBXINSTANCESTART
        MemoryBlock : memblock
        MemoryBlock : memblock
Line 232... Line 233...
        --!TBXINSTANCESTART
        --!TBXINSTANCESTART
        arithmetic_block : arithblock
        arithmetic_block : arithblock
        port map (
        port map (
                clk => clk,
                clk => clk,
                rst => rst,
                rst => rst,
                dpc => s_dpc_uca(1),
                dpc => s_sign,
                f       => s_f,
                f       => s_f,
                a       => s_a,
                a       => s_a,
                s       => s_s,
                s       => s_s,
                p       => s_p
                p       => s_p
        );
        );

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.