OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [raytrac.vhd] - Diff between revs 163 and 172

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 163 Rev 172
Line 104... Line 104...
        --! Señales de DPC a inv32.
        --! Señales de DPC a inv32.
        signal s_dvd32                  : std_logic_vector (31 downto 0);
        signal s_dvd32                  : std_logic_vector (31 downto 0);
        --! Señales de DPC  a invr32.
        --! Señales de DPC  a invr32.
        --! Se&ntilde que va desde DPC -> Memblock
        --! Se&ntilde que va desde DPC -> Memblock
        signal s_resultfifo_wr  : std_logic_vector (7 downto 0);
        signal s_resultfifo_wr  : std_logic_vector (7 downto 0);
        signal s_resultsfifo_w  : std_logic_vector (4 downto 0);
 
        signal s_dpfifo_w               : std_logic;
        signal s_dpfifo_w               : std_logic;
        signal s_dpfifo_r               : std_logic;
        signal s_dpfifo_r               : std_logic;
        signal s_dpfifo_d               : std_logic_vector (2*32-1 downto 0);
        signal s_dpfifo_d               : std_logic_vector (2*32-1 downto 0);
        signal s_normfifo_w             : std_logic;
        signal s_normfifo_w             : std_logic;
        signal s_normfifo_r             : std_logic;
        signal s_normfifo_r             : std_logic;
Line 121... Line 120...
        signal s_a                              : vectorblock08;
        signal s_a                              : vectorblock08;
        --! Parcialmente las señales de salida de los sumadores van al data path control.
        --! Parcialmente las señales de salida de los sumadores van al data path control.
        signal s_s                              : vectorblock04;
        signal s_s                              : vectorblock04;
        signal s_p                              : vectorblock06;
        signal s_p                              : vectorblock06;
        --!TBXEND
        --!TBXEND
 
        signal s_resultsfifo_w  : std_logic_vector (4 downto 0);
 
 
        --!TBXSTART:IM
        --!TBXSTART:IM
        --! Señales de Interruption Machine al testbench
        --! Señales de Interruption Machine al testbench
        signal s_iCtrlState             : iCtrlState;
        signal s_iCtrlState             : iCtrlState;
        --!TBXEND       
        --!TBXEND       
begin
begin

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.