OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [raytrac.vhd] - Diff between revs 172 and 177

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 172 Rev 177
Line 43... Line 43...
 
 
                --! datos de entrada
                --! datos de entrada
                d       : in std_logic_vector (31 downto 0);
                d       : in std_logic_vector (31 downto 0);
 
 
                --! Interrupciones
                --! Interrupciones
                int     : out std_logic_vector (7 downto 0);
                int07,int06,int05,int04,int03,int02,int01,int00 : out std_logic;
 
 
                --! Salidas
                --! Salidas
                q : out std_logic_vector (31 downto 0)
                q : out std_logic_vector (31 downto 0)
 
 
 
 
Line 125... Line 125...
        signal s_resultsfifo_w  : std_logic_vector (4 downto 0);
        signal s_resultsfifo_w  : std_logic_vector (4 downto 0);
 
 
        --!TBXSTART:IM
        --!TBXSTART:IM
        --! Señales de Interruption Machine al testbench
        --! Señales de Interruption Machine al testbench
        signal s_iCtrlState             : iCtrlState;
        signal s_iCtrlState             : iCtrlState;
 
        signal s_int                    : std_logic_vector (7 downto 0);
        --!TBXEND       
        --!TBXEND       
begin
begin
 
 
 
        --! Sacar las interrupciones
 
        int07 <= s_int(7);
 
        int06 <= s_int(6);
 
        int05 <= s_int(5);
 
        int04 <= s_int(4);
 
        int03 <= s_int(3);
 
        int02 <= s_int(2);
 
        int01 <= s_int(1);
 
        int00 <= s_int(0);
 
 
        --! Signo de los bloques de suma
        --! Signo de los bloques de suma
        s_sign <= not(s_dpc_uca(2)) and s_dpc_uca(1);
        s_sign <= not(s_dpc_uca(2)) and s_dpc_uca(1);
        --! Instanciar el bloque de memorias MEMBLOCK
        --! Instanciar el bloque de memorias MEMBLOCK
        s_resultfifo_wr <= s_resultsfifo_w(4)&s_resultsfifo_w(4)&s_resultsfifo_w(4)&s_resultsfifo_w(3)&s_resultsfifo_w(2)&s_resultsfifo_w(1)&s_resultsfifo_w(2)&s_resultsfifo_w(0);
        s_resultfifo_wr <= s_resultsfifo_w(4)&s_resultsfifo_w(4)&s_resultsfifo_w(4)&s_resultsfifo_w(3)&s_resultsfifo_w(2)&s_resultsfifo_w(1)&s_resultsfifo_w(2)&s_resultsfifo_w(0);
Line 254... Line 263...
        port map (
        port map (
                clk                             => clk,
                clk                             => clk,
                rst                             => rst,
                rst                             => rst,
                rfull_events    => s_rfull_events,
                rfull_events    => s_rfull_events,
                eoi_events              => s_eoi_events,
                eoi_events              => s_eoi_events,
                eoi_int                 => int(3 downto 0),
                eoi_int                 => s_int(3 downto 0),
                rfull_int               => int(7 downto 4),
                rfull_int               => s_int(7 downto 4),
                state                   => s_iCtrlState
                state                   => s_iCtrlState
 
 
        );
        );
        --!TBXINSTANCEEND
        --!TBXINSTANCEEND
        --!Instanciar la maquina de estados
        --!Instanciar la maquina de estados

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.