OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [raytrac.vhd] - Diff between revs 181 and 186

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 181 Rev 186
Line 43... Line 43...
 
 
                --! datos de entrada
                --! datos de entrada
                d       : in std_logic_vector (31 downto 0);
                d       : in std_logic_vector (31 downto 0);
 
 
                --! Interrupciones
                --! Interrupciones
                int : out std_logic;
                irq : out std_logic;
 
 
                --! Salidas
                --! Salidas
                q : out std_logic_vector (31 downto 0)
                q : out std_logic_vector (31 downto 0)
 
 
 
 
Line 130... Line 130...
        signal s_int                    : std_logic;
        signal s_int                    : std_logic;
        --!TBXEND       
        --!TBXEND       
begin
begin
 
 
        --! Sacar las interrupciones
        --! Sacar las interrupciones
        int <= s_int;
        irq <= s_int;
 
 
        --! Signo de los bloques de suma
        --! Signo de los bloques de suma
        s_sign <= not(s_dpc_uca(2)) and s_dpc_uca(1);
        s_sign <= not(s_dpc_uca(2)) and s_dpc_uca(1);
        --! Instanciar el bloque de memorias MEMBLOCK
        --! Instanciar el bloque de memorias MEMBLOCK
        s_resultfifo_wr <= s_resultsfifo_w(4)&s_resultsfifo_w(4)&s_resultsfifo_w(4)&s_resultsfifo_w(3)&s_resultsfifo_w(2)&s_resultsfifo_w(1)&s_resultsfifo_w(2)&s_resultsfifo_w(0);
        s_resultfifo_wr <= s_resultsfifo_w(4)&s_resultsfifo_w(4)&s_resultsfifo_w(4)&s_resultsfifo_w(3)&s_resultsfifo_w(2)&s_resultsfifo_w(1)&s_resultsfifo_w(2)&s_resultsfifo_w(0);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.