OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [rt_tb.vhd] - Diff between revs 161 and 181

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 161 Rev 181
Line 19... Line 19...
        --!TBXEND
        --!TBXEND
        --!TBXSTART:DATA_BUS
        --!TBXSTART:DATA_BUS
        signal  sd,sq                           : xfloat32;
        signal  sd,sq                           : xfloat32;
        --!TBXEND
        --!TBXEND
        --!TXBXSTART:INT_BUS
        --!TXBXSTART:INT_BUS
        signal  sint                            : std_logic_vector(7 downto 0);
        signal  sint                            : std_logic;
        --!TBXEND
        --!TBXEND
 
 
begin
begin
 
 
        reset_p : process
        reset_p : process

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.