OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [sm.vhd] - Diff between revs 145 and 147

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 145 Rev 147
Line 35... Line 35...
        );
        );
        port (
        port (
 
 
                --! Señales normales de secuencia.
                --! Señales normales de secuencia.
                clk,rst:                        in std_logic;
                clk,rst:                        in std_logic;
 
                --! Vector con las instrucción codficada
                --! ENTRADAS DE CONTROL DE SINCRONIZACION.
 
                --! Señales de sincronización de recursos.
 
                --! Las siguientes entradas tienen relevancia y son sensibles en los estados EXECUTE_INSTRUCTION y VERMEER_EXECUTE.
 
 
 
 
 
 
 
                --! ENTRADAS 
 
 
 
 
 
 
 
                --! Instruction Q, instruction.
 
                instrQq:in std_logic_vector(width-1 downto 0);
                instrQq:in std_logic_vector(width-1 downto 0);
 
                --! Señal de cola vacia.
                instrQ_empty:in std_logic;
                instrQ_empty:in std_logic;
 
 
 
 
                adda,addb:out std_logic_vector (widthadmemblock-1 downto 0);
                adda,addb:out std_logic_vector (widthadmemblock-1 downto 0);
                sync_chain_0,instrRdAckd:out std_logic;
                sync_chain_0,instrRdAckd:out std_logic;
 
 
 
 
                full_r:         in std_logic;   --! Indica que la cola de resultados no puede aceptar mas de 32 elementos.
                full_r:         in std_logic;   --! Indica que la cola de resultados no puede aceptar mas de 32 elementos.
 
 
 
 
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.